永发信息网

数字逻辑中的四路选择器是什么

答案:1  悬赏:20  手机版
解决时间 2021-11-17 23:00
数字逻辑中的四路选择器是什么
最佳答案
多路选择器和多路分配器是数字系统中常用的中规模集成电路。其基本功能是完成对多路数据的选择与分配、在公共传输线上实现多路数据的分时传送。此外,还可完成数据的并-串转换、序列信号产生等多种逻辑功能以及实现各种逻辑函数功能。因而,属于通用中规模集成电路。

  一 . 多路选择器

  多路选择器(Multiplexer)又称数据选择器或多路开关,常用MUX表示。它是一种多路输入、 单路输出的组合逻辑电路。

  1.逻辑特性

  (1) 逻辑功能:从多路输入中选中某一路送至输出端,输出对输入的选择受选择控制量控制。通常,对于一个具有2n路输入和一路输出的多路选择器有n个选择控制变量,控制变量的每种取值组合对应选中一路输入送至输出。
  ?
  (2) 构成思想: 多路选择器的构成思想相当于一个单刀多掷开关,即

  2.典型芯片

  常见的MSI多路选择器有4路选择器、8路选择器和16路选择器。

  (1) 四路数据选择器T580的管脚排列图和逻辑符号
  图7.14(a)、(b)是型号为T580的双4路选择器的管脚排列图和逻辑符号。该芯片中有两个4路选择器。其中,D0~D3为数据输入端;A1、A0为选择控制端;W、W为互补输出端。

  图7.14 T580的管脚排列图和逻辑符号

  (2) 四路数据选择器T580的功能表
  四路数据选择器的功能表如表7.4所示。

  表7.4 四路选择器功能表
  选择控制输入
  A1 A0
  数 据 输 入
  D0 D1 D2 D3
  输 出
  W

  0 0
  0 1
  1 0
  1 1
  D0 d d d
  d D1 d d
  d d D2 d
  d d d D3
  D0
  D1
  D2
  D3

  (3) 四路数据选择器T580的输出函数表达式
  由功能表可知,当A1A0=00时,W=D0;当A1A0 =01时,W=D1;当A1A0 =10时,W=D2;当A1A0 =11时,W=D3。即在A1A0的控制下,依次选中D0~D3端的信息送至输出端。其输出表达式为

  式中,mi为选择变量A1、A0组成的最小项,Di为i端的输入数据,取值等于0或1。?
  类似地,可以写出2n路选择器的输出表达式

  式中,mi为选择控制变量An-1,An-2,…,A1,A0组成的最小项;Di为2n路输入中的第i路数据输入,取值0或1。
  ?
  3.应用举例

  多路选择器除完成对多路数据进行选择的基本功能外,在逻辑设计中主要用来实现各种逻辑函数功能。

  (1) 用具有n个选择控制变量的多路选择器实现n个变量函数

  一般方法:将函数的n个变量依次连接到MUX的n个选择变量端,并将函数表示成最小项之和的形式。若函数表达式中包含最小项mi,则相应MUX的Di接1,否则Di接0 。

  例1 用多路选择器实现如下逻辑函数的功能

  ??? F(A,B,C)=∑m(2,3,5,6)?
  ?
  解 由于给定函数为一个三变量函数故可采用8路数据选择器实现其功能。?
  ? 因为8路数据选择器的输出表达式为

  逻辑函数F的表达式为

  比较上述两个表达式可知:要使W=F,只需令A2=A,A1=B,A0=C且D0=D1=D4=D7=0,而D2=D3=D5=D6=1即可。据此可作出用8路选择器实现给定函数的逻辑电路图,如图7.15所示。

  图7.15 逻辑电路图

  上述方案给出了用具有n个选择控制变量的多路选择器实现n个变量函数的一般方法。

  (2) 用具有n个选择控制变量的多路选择器实现n+1个变量的函数 一般方法:从函数的n+1个变量中任n个作为MUX选择控制变量,并根据所选定的选择控制变量将函数变换成如下形式:

  以确定各数据输入Di。假定剩余变量为X,则Di的取值只可能是0、1或X,X四者之一。

  例2 假定采用4路数据选择器实现逻辑函数
  F(A,B,C)=∑m(2,3,5,6)?
  ?
  解 由于四路选择器具有2个选择控制变量,所以用来实现3变量函数功能时,应该首先从函数的3个变量中任选2个作为选择控制变量,然后再确定选择器的数据输入。假定选A、B与选择控制端A1、A0相连,则可将函数F的表达式表示成如下形式:
  ???

  显然,要使4路选择器的输出W与函数F相等,只需D0=0、D1=1 、D2=C 、D3=C 。据此,可作出用4路选择器实现给定函数功能的逻辑电路图如图7.16所示。类似地,也可以选择A、C或者B、C作为选择控制变量,选择控制变量不同,将使数据输入不同。

  图7.16 逻辑电路图

  上述两种方法表明:用具有n个选择控制变量的MUX实现n个变量的函数或n+1个变量的函数时,不需要任何辅助电路,可由MUX直接实现。
  ?
  (3) 用具有n个选择控制变量的多路选择器实现n+1个以上变量的函数
  当函数的变量数比MUX的选择控制变量数多两个以上时,一般需要加适当的逻辑门辅助实现 。在确定各数据输入时,通常借助卡诺图。
  ?
  例3 用4路选择器实现如下4变量逻辑函数的功能

  ?? ?F(A,B,C,D)=∑m(1,2,4,9, 10,11,12,14,15)?
  ?
  解 用4路选择器实现该函数时,应从卡诺图的4个变量中选出2个作为MUX的选择控制变量。原则上讲,这种选择是任意的,但选择合适时可使设计简化。

  ①选用变量A和B作为选择控制变量
  假定选用变量A和B作为选择控制变量,首先作出函数的卡诺图如图7.17(a)所示。

  图7.17 例3 的两种方案

  A、B两个选择变量按其组合将原卡诺图划分为4个子卡诺图--2变量卡诺图(对应变量C和D),如图中虚线所示。各子卡诺图所示的函数就是与其选择控制变量对应的数据输入函数Di。求数据输入函数时,函数化简可以在卡诺图上进行。注意:由于一个数据输入对应选择控制变量的一种取值组合,因此,化简只能在相应的子卡诺图内进行,即不能越过图中虚线。分别化简图7.17(a)中的每个子卡诺图,见图中实线圈(标注这些圈对应的"与"项时应去掉选择控制变量),即可得到各数据输入函数Di分别为
  ??
  ;
  ;

  据此,可得到实现给定函数的逻辑电路图如图7.17(b)所示。除4路选择器外,附加了4个逻辑门。
  ?
  ②选用变量B和C作为选择控制变量
  如果选用变量B和C作为选择控制变量,则各数据输入函数对应的子卡诺图(对应变量A和D)如图7.17(c)所示。经卡诺图化简后,可得到各数据输入函数为

  ; ; ;

  相应逻辑电路图如图7.17(d)所示,只附加一个与非门。显然,实现给定函数用B、C作为选择控制变量更简单。
  由上述可见,用n个选择控制变量的MUX实现m个变量(m-n≥2)的函数时,MUX的数据输入函数Di一般是2个或2个以上变量的函数。函数Di的复杂程度与选择控制变量的确定相关,只有通过对各种方案的比较,才能从中得到最简单而且经济的方案。
  ?
  例4 用一片T580双4路选择器实现4变量多输出函数。 函数表达式为

  F1(A,B,C,D)=∑m(0,1,5,7,10,13,15)?
  F2(A,B,C,D)=∑m(8,10,12,13,15)??

  解 假定选取函数变量A、B作为MUX的选择控制变量A1、A0 ,可作出F1、F2的卡诺图如图7.18所示。

  图7.18 Di的卡诺图合并情况

  图中,Di对应的子卡诺图即为卡诺图的各列。若令T580的1W=F1,2W=F2,则化简后可得

  ; ; ;
  ; ; ;

  实现函数F1和F2的电路图如图7.19所示。

  图7.19 逻辑电路图

  ?
  二.多路分配器?
  多路分配器(Demultiplexer)又称数据分配器,常用DEMUX表示。多路分配器的结构与多路选择器正好相反,它是一种单输入、多输出组合逻辑部件,由选择控制变量决定输入从哪一路输出。图7.20所示为4路分配器的逻辑符号。

  图7.20 四路数据分配器的逻辑符号

  图中,D为数据输入端,A1、A0为选择控制输入端,f0~f3为数据输出端。其功能表如表7.5所示。?

  表7.5 四路分配器功能表

  A1 A0
  f0 f1 f2 f3

  0 0
  0 1
  1 0
  1 1
  D 0 0 0
  0 D 0 0
  0 0 D 0
  0 0 0 D

  由功能表可知,4路分配器的输出表达式为
  ?

  ;
  ;

  式中,mi(i=0~3)是选择控制变量的4个最小项。?
  多路分配器常与多路选择器联用,以实现多通道数据分时传送。通常在发送端由MUX将各路数据分时送上公共传输线(总线),接收端再由DEMUX将公共线上的数据适时分配到相应的输出端。图7.21所示是利用一根数据传输线分时传送8路数据的示意图,在公共选择控制变量 ABC的控制下,实现Di-fi的传送(i=0~7)。

  图7.21 8路数据传输示意图

  以上对几种最常用的MSI组合逻辑电路进行了介绍,在逻辑设计时可以灵活使用这些电路实现各种逻辑功能。
  ?
  例5 用8路选择器和3-8线译码器构造一个3位二进制数等值比较器。
  ?
  解 设比较的两个3位二进制数分别为ABC和XYZ,将译码器和多路选择器按图 7.22所示进行连接,即可实现ABC和XYZ的等值比较。

  图7.22 比较器逻辑电路图

  从图7.22可知,若ABC=XYZ,则多路选择器的输出F=0,否则F=1。例如,当ABC=010时,译码器输出Y2=0 ,其余均为1。若多路选择器选择控制变量XYZ=ABC=010,则选通D2送至输出端F,由于D2=Y2=0,故F=0;若XYZ≠010,则多路选择器会选择D2之外的其他数据输入送至输出端F,由于与其余数据输入端相连的译码器输出均为1,故F为1。

  演示如下:

  用类似方法,采用合适的译码器和多路选择器可构成多位二进制数比较器。
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
土、力、向、禾加一个字都能成为新字
三菱翼神2010年 车况良好能卖多少钱
65×45-63x15简便计算
和一个女孩子在一起有段时间了,就是不敢吻她
台北新娘婚纱婚庆摄影地址在什么地方,我要处
山地车,一样的车就区别卡飞与旋飞哪个好骑?
but,howeveer,yet的一个选择题,请区别3者用法
高铁变电站辐射大吗?
650cm+350cm=多少m
高州市荷花镇第一中学地址在哪,我要去那里办
名明婚纱摄影地址在哪,我要去那里办事,
1元加80角等于多少
电脑一开机出现这lNACCESSlBLE_BOOT_DEVlCE是
丰田威驰功放怎么加装到金杯海狮上
美伦国际体育舞蹈俱乐部地址有知道的么?有点
推荐资讯
跑菜员每天上下楼梯累死人影响长高不
怎么估算齿轮的模数?根据功率吗?
小香蕉和大香蕉有什么不同?
基努李维斯与 韩国小天王 RAIN !!!
漳州电动汽车哪里卖
阻抗式复合消声器的重量怎么计算
为什么用24-70的镜头拍出来的都曝光过度?
名字哲晗意思是什么?
在线等 试说明无论m.n.为任何有理数,多项式4
流行用英语怎么说
桃李争妍同义词
活佛济公3中济公对胭脂说的一首诗是什么
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?