永发信息网

EDA知识,求VHDL语言描述急求答案

答案:1  悬赏:50  手机版
解决时间 2021-02-06 03:15
EDA知识,求VHDL语言描述急求答案
最佳答案
将下面两个vhd文件放到同一个工程项目中编译即可:
library ieee;
use ieee.std_logic_1164.all;
entity div_5_6 is
port (dk:in std_logic;
dk5,dk6:out std_logic);
end div_5_6;
architecture structural of div_5_6 is
component div_n is
generic(n:integer :=6);
port (clk:in std_logic;
q:out std_logic);
end component;
begin
U5:div_n generic map(n => 5)
port map(clk => dk, q => dk5);
U6:div_n generic map(n => 6)
port map(clk => dk, q => dk6);
end structural;

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity div_n is
generic(n:integer :=6);
port (clk:in std_logic;
q:out std_logic);
end div_n;
architecture behavioral of div_n is
signal count :integer range n-1 downto 0:=n-1;
begin
process(clk)
begin
if rising_edge(clk) then
count<=count-1;
if count>=n/2 then
q<='0';
else
q<='1';
end if;
if count<=0 then
count<=n-1;
end if;
end if;
end process;
end behavioral;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
我想学戏曲,上海
鸿铭茶苑地址在什么地方,想过去办事
8分子1+24分子1+48分子1用解决问题的策略计算
高三超市在什么地方啊,我要过去处理事情
小时候亲人对没有什么关心,现在我长大,有时
文言文数字的数是什么意思? 有几种翻译?
福泉茶庄地址在哪,我要去那里办事
“print -32000-769”语句有什么错误?求大神
我和爸爸妈妈一起去山上采集标本作文,100字
容制的意思是什么啊?知道的请说下!
歌曲<容易受伤的女人>有那么一首闽南语或台语
图中,表示盆地的是
女人单身久了会怎么样,女人单身太久会怎样,
杨宗纬和那几位女歌手合唱过?
急需!!!描写残疾人的词语 句子 段落!!!
推荐资讯
我的世界神秘统一场论研究方法
贵州省都匀市在哪儿办护照?需要哪些资料?多
徐府砂锅在什么地方啊,我要过去处理事情
福贝特洗衣(宝清镇新华路客运街福贝特洗衣)地
suapp图纸转模型插件怎么用
弥尚怎么去啊,我要去那办事
诬谮的意思是什么啊?知道的请说下!
2018年创业什么项目好
铭城KTV地址在哪,我要去那里办事
卡柏洗衣(玉水花园店)怎么去啊,我要去那办事
关于人力资源有效性指数的陈述,正确的是()
下列哪些属于定量研究方法
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?