1、用原理图层次化设计法设计一个4位二进制加法器。 2、编写VHDL程序完成设计一个4位二进制加法器。
答案:1 悬赏:0 手机版
解决时间 2021-03-25 05:15
- 提问者网友:太高姿态
- 2021-03-24 21:43
1、用原理图层次化设计法设计一个4位二进制加法器。 2、编写VHDL程序完成设计一个4位二进制加法器。
最佳答案
- 五星知识达人网友:神也偏爱
- 2021-03-24 21:57
原理图由一个半加器和三个全加器组成。
VHDL程序相对比较简单。
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY adder4bit IS
PORT(a,b : IN std_logic_vector(3 downto 0);
s : out std_logic_vector(3 downto 0);
--sum
co : OUT std_logic); --carry out
END adder4bit;
ARCHITECTURE a OF adder4bit IS
SIGNAL temp:std_logic_vector(4 downto 0);
BEGIN
temp<=a+b;
co<=temp(4);
s<=temp(3 downto 0);
END a;
VHDL程序相对比较简单。
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY adder4bit IS
PORT(a,b : IN std_logic_vector(3 downto 0);
s : out std_logic_vector(3 downto 0);
--sum
co : OUT std_logic); --carry out
END adder4bit;
ARCHITECTURE a OF adder4bit IS
SIGNAL temp:std_logic_vector(4 downto 0);
BEGIN
temp<=a+b;
co<=temp(4);
s<=temp(3 downto 0);
END a;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
推荐资讯