永发信息网

用VHDL语言编写十进制计数译码显示电路,在一个程序中用块形式编写,高手给答案不

答案:2  悬赏:70  手机版
解决时间 2021-11-27 11:37
用VHDL语言编写十进制计数译码显示电路,在一个程序中用块形式编写,高手给答案不
最佳答案
process(data_in,add_pul,reg_count)--在输入数据的基础上加1
begin
if falling_edge(add_pul) then--下降沿加数
if data_in(3 downto 0) >= "1001" then
reg_count(3 downto 0) <= "0000";
if data_in(7 downto 4) >= "1001" then
reg_count(7 downto 4) <= "0000";
else
reg_count(7 downto 4) <= data_in(7 downto 4)+1;
end if;
else
reg_count(3 downto 0) <= data_in(3 downto 0)+1;
reg_count(7 downto 4) <= data_in(7 downto 4);
end if;
end if;
if rising_edge(add_pul) then--上升沿赋值
addbcd <= reg_count;
end if;
end process;
全部回答
library ieee; use ieee.std_logic_1164.all; entity smg_16 is port( a:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0) ); end smg_16; architecture bhv of smg_16 is begin process(a) begin case a is when "0000"=> q<="0
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
浙江嘉兴桐乡 魅力金座消费很高吗,怎么样的
英文问题 我在英文文章的前半部用了 First...
要想往河北工业大学北辰校区邮寄快递,地址写
幸福考拉789怎么样?好不好?值不值得买?
经公桥高速出口到G206国道有多少路
太原市种子站在哪里
描述祖国的美丽景象的古诗有哪些
一盒奶重450g喝了250g问奶净重多少g盒净重多
鱼腥草甘草黄岑菊花煲一齐喝能止咳
轧钢设备的夹送辊重量是多少
我们都是肉眼凡胎 看人永远看不清,要是能开
中国移动通信友谊手机专卖店怎么去啊,有知道
突然发现魅族有御坂美琴这个主题,如图炮姐那
我是一个初中毕业生,现在想上学了,请问可以
啥立啥群成语有哪些
推荐资讯
英雄联盟玩好虚空挖掘者要练习些什么?
谁有上帝也疯狂2中那个小型飞机的资料,有用的
这个东西长得太像变压器?不知是否?
微信群里聊天记录往下划不动是怎么回事
共享汽车是营运汽车吗
dnf85版本pk场怎么打组队和擂台?难道就只能
现有平房如何加盖二层
为什么在减一前期联会之后的一对同源染色体才
博美佳造型地址在什么地方,我要处理点事!
摄影路上:从入门到放弃你在摄影的道路上走了
单选题过去企业遇到问题是“不找市场找市长”
半糖夫妻的推敲来历
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?