永发信息网

利用VHDL语言设计一个分频器,模块时钟输入80MHz,要求输出一路1MHz的时钟信号

答案:1  悬赏:50  手机版
解决时间 2021-11-17 18:43
利用VHDL语言设计一个分频器,模块时钟输入80MHz,要求输出一路1MHz的时钟信号
最佳答案
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DIV IS 
PORT(CLK: IN STD_LOGIC;
CLK1: OUT STD_LOGIC);
END ENTITY ;
architecture one of div is 
begin

process(clk )
variable cnt: integer range 0 to 10000:0;
begin 
if clk'event and clk='1'then 
if cnt=9999 then 
cnt:=0;
else cnt:=cnt+1;
end if;
if cnt<=4999 then 
clk1<='1';
else 
clk1<='0';
end if ;
end if;
end process ;
end one ;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
全职猎人中小杰出生时,他老爸几岁啊?
12.5乘(36-7又1/5)除3.6 求帮忙
前几天我收到短信你好您 被(浙*视*T V)您
昌盛通讯地址好找么,我有些事要过去,
“辇路生秋草”这句话中的“辇路”是什么意思
存货的归属以什么为划分标准 A. 法定产权 B.
新锐通讯地址在什么地方,想过去办事,
化简(x的平方减一除以x的平方减x加一 + 一减
7分米等于多少厘米
男朋友发梦的依恋这首给我 他是什么意思?我
亮剑的男演员有哪些
与起点相近的词有哪些
天翼地址在什么地方,想过去办事,
落雨纷飞摧花残,叶落花凋几人知。树下残花还
中石油瓮厢村加油站地址有知道的么?有点事想
推荐资讯
820 180×15÷11如何巧算
急等请问这是谁
向日葵保险和保险界哪个好
亳州文化遗产,除了花戏楼还有什么
那种很粗的叉叉符号怎么打啊
华夏收藏网的简介
如何倡导游客文明旅游九年级英语作文
2DS怎么安装3DS和NDS的游戏
寒门子弟的反义词是?
河道取土采砂归国土资源局有没有权利管理
无轨电车最初是什么时期出现的
交通银行ATM(268省道)地址在哪,我要去那里办
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?