永发信息网

eda问题带使能端的2位十进制计数器vhdl语言程序

答案:2  悬赏:40  手机版
解决时间 2021-05-02 19:24
eda问题带使能端的2位十进制计数器vhdl语言程序
最佳答案
你好哦。
有幸看到你的问题。
但是又很遗憾到现在还没有人回答你的问题。也可能你现在已经在别的地方找到了答案,那就得恭喜你啦。
可能是你问的问题有些专业了,没人会。或者别人没有遇到或者接触过你的问题,所以帮不了你。建议你去问题的相关论坛去求助,那里的人通常比较多,也比较热心,可能能快点帮你解决问题。
祝你好运~!
希望我的回答也能够帮到你!
谢谢
全部回答
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_ensigned.all; entity jishu is port(en,reset,clk:in std_logic;//en使能,reset复位 da1,da2:out std_logic_vector(3 downto 0)); end entity jishu; architecture art of jishu is signal s1,s2:std_logic_vector(3 downto 0); begin process(reset,clk)is begin if reset='1' then s1<=(others=>'0'); s2<=(others=>'0'); elsif clk'event then clk='1' then if en='1' then if s1="1001" then if s2="1001"then s1<="0000";s2<="0000"; else s2<=s2+1;s1<="0000"; end if; else s1<=s1+1; end if; end if; end process; da1<=s1;//十进制低位 da2<=s2; //十进制高位 da1,da2 这是用二进制表示的,还要将这转换成对应数字代码.用CASE语句就可搞定
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
谁有网络游戏【龙】的财富卡的,300分悬赏
和老板吵架要不要辞职
你爱好什么?
石家庄市鹿泉区公安局获鹿分局地址有知道的么
安乡大鲸港大桥
网络问卷调查怎么弄,网上做调查问卷怎么才能
请问7个多月的宝宝可以添加那些辅食了?
行货诺基亚N79可以用3G吗?
卫星电视被小孩玩游戏后就看不了了,请问怎么
晨寓意好的诗句,形容早晨的诗词
灰太狼老输给喜羊羊…为什么?
我女朋友不肯和我ML,这是为什么啊?
化德县丫丫幼儿园地址有知道的么?有点事想过
浙江上虞的广电网是网通吗
PVP牧师练哪两个专业好?
推荐资讯
洗面啫喱和修复菁华定义
有什么好看的新番动画?
我爸爸干活老是蹲着,腰不好,老闪腰,拿什么
手机通话背景音在哪下载?
iphone3上QQ为什么看不到对方是手机上还是电
彭山车站在哪儿 了
双颜传作者是谁
听说兰石化学校的就业很好,为什么好多学生还
烽火战国官阶是什么意思
从深圳龙华怎么做车去河南平顶山?
绿钻都有什么特权啊
怎麽让QQ升级更快一些?
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?