永发信息网

高手进 急求一段十五进制同步计数器VHDL语言程序

答案:2  悬赏:80  手机版
解决时间 2021-03-21 23:15
高手进 急求一段十五进制同步计数器VHDL语言程序
最佳答案
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity tk4757_div1000 is
port(clk:in std_logic;
co:out std_logic);
end;
architecture behav of tk4757_div1000 is
signal bcd:integer range 14 downto 0;
begin
process(clk)
begin
if clk'event and clk='1' then
if bcd=14 then
bcd<=0;
co<='1';
else bcd<=bcd+1;
co<='0';
end if;
end if;
end process;
end behav;
全部回答
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity 8_count is port(clk,reset,en:in std_logic; output:out integer range 0 to 7); end entity; architecture art of 8_count is begin process(clk,reset,en) variable cnt:integer range 0 to 7 begin if reset='1' then cnt:=0; elsif clk'event and clk='1' then if en='1' then cnt:=cnt+1; else cnt:=0; end if; end if; output&lt;=cnt; end process; end art;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
韧带拉伤了一年还能不能好
早晨体温36.3度,中午及晚上体温36.9度,胳膊
关于激励人前进的词语和句子
金城江螺丝鸭脚我想知道这个在什么地方
海口是什么地方
成都这首歌原创是谁,唱成都这首歌的本土歌手
如何在数据库中添加sql sever 验证用户
融冠·水映豪廷物业可否代售房产
It passes through their bodies, only a li
梦幻西游2凤凰带高神必杀善恶带啥内丹好啊
我回老家的时候,一些农村亲戚说整天玩手机,
非正式组织产生的原因?
我在北京,单纯想去海边,是去北戴河好,还是南
梦幻西游手游哪个地图神兜兜几率大
宝鸡市的住房公积金手续如何办理?具体在哪个
推荐资讯
牡丹江农垦电大分校我想知道这个在什么地方
日春茶业我想知道这个在什么地方
韵达快递怎么换地址,韵达快递怎么改收货地址
晚上喝劲酒有什么好处,每天喝劲酒有什么好处
鄞州区洞桥镇茅镬新村(刚移民的村),要上网
小时候以为长大了可以拯救全世界 长大后我才
最近听说带IPAD入境要交1000元的税,如本人持
剑灵新出的彩虹石极限买了一个。开出来属性不
现在连违章查询APP也存在病毒么?
GS电池,GS电池口碑好不好,GS电池评价怎么样
关于态度不好的语句,有好的心态句子吗?
仙草百痛康昆明什么药店有卖
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?