永发信息网

VHDL程序错误 Error (10482): VHDL error at washtop.vhd(33): object "o" is used but not declared

答案:2  悬赏:0  手机版
解决时间 2021-02-11 13:38
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity washerTop is
port(
load,start,clk : in std_logic;
k : in std_logic_vector(5 downto 0);--数据开关,设置时间
a,b,c,d,e,f,g : out std_logic;
time_remain : buffer std_logic_vector(3 downto 0) );
end washerTop;
architecture top of washerTop is
component settime
port( load:in std_logic;
k :in std_logic_vector(5 downto 0);
o :out std_logic_vector(3 downto 0)
);
end component;
component counter
port( clk,start :in std_logic;
k :in STD_LOGIC_VECTOR(3 downto 0);
time_remain :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);
time_is_up :out std_logic
);
end component;
component showtime
port( remain_time :in std_logic_vector(3 downto 0);
a,b,c,d,e,f,g :out std_logic
);
end component;
begin
U0: settime
port map(load,k,o);
U1: counter
port map(clk, start,o, time_remain, timeUp );
U2: showtime
port map(time_remain, clk, a, b, c, d, e, f, g);
end top;
最佳答案
begin
U0:
前面加上这句:
SIGNAL time_is_up :STD_LOGIC;
SIGNAL o,remain_time:STD_LOGIC_VECTOR(3 DOWNTO 0);
全部回答
这段程序没有错
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
刚买的苹果6不知道怎么下载软件,我在App Sto
米兰尊荣婚纱摄影地址好找么,我有些事要过去
天脉素专卖店地址在什么地方,想过去办事
电信流量超了后又冲进去能抵消吗?
一首歌有句我的的心上还没来到哟,歌名谁知道
庐州月歌词(完整)
SQL NOT EXISTS 语句问题。
求帮忙…最后一次!扫描二维码直接支付一分钱
欧雅化妆我想知道这个在什么地方
关于国际贸易各种结算方式的说法,正确的有()
渭城曲的意思
我的社保金是转好还是不转好?
金盛内衣批发商行地址有知道的么?有点事想过
史迪奇所有的语言?
李恒熠名字好吗
推荐资讯
小米蓝牙耳机怎样
玉树每年的最高气温是多少度
心怡农庄这个地址在什么地方,我要处理点事
广东省红峰农场企业退休人员社会化管理服务中
下列关于右心室肥厚的描述,错误的是()A.R≥1
成都市乳品公司职工宿舍地址在什么地方,想过
白术泡醋怎么越用越黑
请将下列动物的行为与相应的表现用直线连接起
明珠园西区这个地址在什么地方,我要处理点事
看电影到底用不用带身份证
【产品合格证书】产品合格证和检验合格证有什
镜子有污点,怎样擦干净?
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?