永发信息网

verilog中assign{

答案:1  悬赏:10  手机版
解决时间 2021-08-21 10:06
verilog中assign{
四位全加器的程序中直接把cout和sum放在一起被赋值,请问assign{ }在什么情况下用,具体是怎么执行的?
module adder4(cout,sum,ina,inb,cin);
output[3:0] sum;
output cout;
input[3:0] ina,inb;
input cin;
assign {cout,sum}=ina+inb+cin;
endmodule
最佳答案

我感觉吧,cout表示ina+inb+cin的最高那位,sum表示ina+inb+cin的低四位
assign的含义是定义,{cout,sum}这个的含义是将括号内的数按位并在一起,比如:{1001,1110}表示的是10011110
assign还有个相似的用法如:assign A = {a,b};
若a = 100101,b = 1010
那么A就被定义成了A = 1001011010;
整个语句:assign {cout,sum}=ina+inb+cin;
含义为将四位数ina,inb,cin相加,其值放入sum,进位放入cout.恩,这是一个带进位的加法模块.cin表示的是上一级加法给这一级的进位.
希望对你有所帮助.
要还是不懂可百度hi我


我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
标有“6V 3W”的小灯泡,通过它的电流与电压
汽车空调的问题?
养老保险到底是多交好还是少交合适
驿城区驻马店雷士照明地址有谁知道?有点事想
高一成语词类活用练习(求答案.
如何下载玫瑰小镇?
怎样分辨西瓜好坏?
电脑的内存最大是多少,iphone 7 机身内存多大
米醋有何功能,能美白吗
航空师兄毕业祝福语,一篇送师兄师姐的祝福语
汝州市平顶山丝缘发艺造型精致店地址在哪,我
今年3加2的分数要多少
城市有哪些污染,汉阳造纸厂附近的纸城苑小区
双色茉莉怎么修剪图片,双色茉莉夏季怎么养
手 机 被别人挂失了?
推荐资讯
临武县东云宾馆(郴州市住房公积金管理中心临
江夏区武汉荷田会所地址有谁知道?有点事想过
越陷越深,弄得自己无法自拔。这句话翻译成英
我手机QQ包了5块钱的流量,那我手机QQ挂着,
碾子山区齐齐哈尔鑫绿色蒸饺这个地址在什么地
保险提成一般多少,做外汇的代理能拿多少提成
Kiss The Rain 是纯音乐还是R&B?
电脑显示器黑屏怎么回事
QQ空间。视频。宠物。会员图标怎么熄灭
达子的春天演员表
攸县株洲鸾山镇综合文化站地址有谁知道?有点
第四届中外诗歌邀请赛,人与社会类的名言 最好
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?