永发信息网

关于verilog 仿真时报错的问题

答案:2  悬赏:60  手机版
解决时间 2021-03-06 14:18
同一个Verilog文件,有时用Simulate Behavioral Model编译几次后再次仿真就会出现ERROR:Simulator:904 - Unable to remove previous simulation file isim/lx_tb_isim_beh.exe.sim/lx_tb_isim_beh.exe.和
ERROR:Simulator:861 - Failed to link the design
必须重启电脑才可以重新开始编译仿真,有没有大侠告诉在下这时为什么啊T__T
最佳答案
你是不是在多个地方对那个寄存器赋值了。代码看看。
全部回答
always@(posedge clk or negedge rst_n) if(!rst_n) key_rst <= 3'b
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
赛车总动员3里的科鲁兹拉米雷斯是什么车
在220V的电压下 用5KW的用电器 问用多大的电
寿眉山公墓地址有知道的么?有点事想过去!
【乡情作文600字初一】作文《浓浓的乡情》600
通辽市公安局科尔沁区分局施介派出所地址有知
什么情况下可以撤销赠与?
沙子价格多少钱一方?
风影被大蛇丸打败是第几集
为什么拉出来的大便是深色的
不知火舞三个小男孩漫画叫什么名字
做淘宝网店的供货商不靠谱不想合作了应该怎么
孟加拉国有什么好吃的特产
大家好,有谁梦帮忙看看这写的十什么意思
从小榄轻轨站坐轻轨到广州南站多小钱一张票?
四川大学华西校区属于成都市哪个区
推荐资讯
She is feeling ( )better than before. A.
为什么现在淘宝评价不能上传图片?
oppor3一体机手机死机怎么重新开机
一般纳税人地方教育费附加怎样做分录
家庭电路的电压是多少?对人体安全的电压是多
束胸怎么穿
结婚第一年是什么婚
彩虹申联绛县销售店在哪里啊,我有事要去这个
太原哪个酒吧ktv夜总会慢摇好?
【父亲串词】...主题班队、班会主持稿及串联
李宏涛这个名字的含义
下列关于细胞内合成ATP的叙述,错误的是A. 在
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?