永发信息网

Error (10327): VHDL error at seg.vhd(13): can't determine definition of operator ""&&q

答案:1  悬赏:10  手机版
解决时间 2021-03-23 00:51
Error (10327): VHDL error at seg.vhd(13): can't determine definition of operator ""&""
最佳答案
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity seg is
port(b,a:in std_logic;
seg7:buffer std_logic_vector(6 downto 0);
dig1,dig2:out std_logic);
end seg;
architecture two of seg is
signal clk_a: std_logic_vector(1 downto 0);---------修改
begin
clk_a<=a&b;
process(clk_a)--------敏感向量修改
begin
case clk_a is
when "00"=>dig1<='1';dig2<='0';
when "01"=>dig1<='0';dig2<='1';
when others=>null;
end case;
end process;
end two;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
小明每天早晨要到距家1300米的学校去上学,一
部教版中小学诗词大全,小学人教版古诗词
江西科技学院的专科院校专升本难么?
万强商用厨具地址有知道的么?有点事想过去
经济学中的完全自由市场怎么翻译的:有点像la
苹果微信删除的聊天记录输入什么可以恢复
山东东营到浙江杭州的火车 紧急 在线等
搜一首歌有一句歌词是叶静怡窗纱微微亮
为什么我的电脑点确认键没反应,比如下订单,
口算4800÷1600=2×14×50=(4+8)×5=10-6.9
春天有什么好吃的水果,英国有什么特别的食物?
电动升降台用途介绍
谁能用“常梦瑶国庆快乐”写一首藏头诗
海阔天空什么意思是什么
36乘79的积小于多少,接近多少怎么做,是用估
推荐资讯
零的百分之五十是多少.意思是要倒给他钱?
单选题Putyourhandsupwhenyou________the
请问选择什么答案
炸粉怎么配谢谢?
鲁迅的教育名言警句,鲁迅无私奉献的真实写照
刚刚有人给我发私信我去回复。上面说私信功能
荣江计算机技术开发有限公司在什么地方啊,我
金鹏台球娱乐城在哪里啊,我有事要去这个地方
安徽省临泉县鲖城镇除了邮政外有其它快递公司
墓地邂逅的剧情简介
馋嘴铺休闲食品怎么去啊,有知道地址的么
自考生考研复试要交 大学成绩表 怎么办
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?