Error (10327): VHDL error at seg.vhd(13): can't determine definition of operator ""&&q
答案:1 悬赏:10 手机版
解决时间 2021-03-23 00:51
- 提问者网友:别再叽里呱啦
- 2021-03-22 16:34
Error (10327): VHDL error at seg.vhd(13): can't determine definition of operator ""&""
最佳答案
- 五星知识达人网友:迟山
- 2021-03-22 17:33
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity seg is
port(b,a:in std_logic;
seg7:buffer std_logic_vector(6 downto 0);
dig1,dig2:out std_logic);
end seg;
architecture two of seg is
signal clk_a: std_logic_vector(1 downto 0);---------修改
begin
clk_a<=a&b;
process(clk_a)--------敏感向量修改
begin
case clk_a is
when "00"=>dig1<='1';dig2<='0';
when "01"=>dig1<='0';dig2<='1';
when others=>null;
end case;
end process;
end two;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity seg is
port(b,a:in std_logic;
seg7:buffer std_logic_vector(6 downto 0);
dig1,dig2:out std_logic);
end seg;
architecture two of seg is
signal clk_a: std_logic_vector(1 downto 0);---------修改
begin
clk_a<=a&b;
process(clk_a)--------敏感向量修改
begin
case clk_a is
when "00"=>dig1<='1';dig2<='0';
when "01"=>dig1<='0';dig2<='1';
when others=>null;
end case;
end process;
end two;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
推荐资讯