永发信息网

eda VHDL 如何实现加减可逆的计数器

答案:1  悬赏:40  手机版
解决时间 2021-03-28 08:44
eda VHDL 如何实现加减可逆的计数器
最佳答案
试试下面这个描述:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mycont is
generic (width: integer := 5);
port(clr,clk: in std_logic; --时钟
updown : in std_logic; --计数方式
q : out std_logic_vector(width downto 0)); --输出
end entity mycont;
architecture fh1 of mycont is
signal temp: std_logic_vector(width downto 0);
begin
N1:process(clr,clk)
begin
if clr = '1' then
if updown = '1' then --顺序计数初始化
for i in width to 0 loop --各位置0
temp(i) <= '0';
end loop;
elsif updown = '0' then --逆序计数初始化
for i in width to 0 loop --各位置1
temp(i) <= '1';
end loop;
end if;
elsif clk 'event and clk = '1' then --计数
if updown = '1' then --顺序计数
temp <= temp + '1';
elsif updown = '0' then --逆序计数
temp <= temp - '1';
end if;
end if;
end process N1;
q <= temp;
end architecture fh1;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
申请住房公积金贷款 可以用现有的房子坐抵押
人的情绪就像多变的天气,一般情绪由身体哪些
自考法律,《中国法律思想史》和《西方法律思
什么是私募基金备案和产品
本田锋范电子散继电器在哪个位置
英语oo发长音的单词加中文
0.8乘以括号3.2减去2.99除以2.3怎样简便
关于17K作者福利和签约上架的事
钢筋拉筋有什么作用
请推荐像《一直很安静》这样忧伤好听的中文歌
如何才能认识IT男
红粉池边脂粉香,白云岭上不思乡.什么意思
核潜艇真能和跑车对飙吗
用《春》的形式写的那篇讽刺中国食品安全的文
房产三居中最好的户型是什么?
推荐资讯
破解WORKWIN管理专家密码
国外往中国寄快递 可以写中文地址么
8.57×10.1-0.857简算
《戴小桥和他的哥们儿》作者是谁
股票信用账户担保比例已经超过百分之三百怎么
上图是一幅扇面画的示意图,请根据图中的信息
---- What a beautiful day! ----- Yes, it’
17括号x加2.7括号等于51的检验过程。
电扇55w的可以用60w的电机吗
丁磊说网易员工平均年薪20万.?
开车超员2人扣几分
宁晋县邢台中国银行(凤凰路支行)这个地址怎么
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?