永发信息网

Quartus高手帮忙!!

答案:1  悬赏:40  手机版
解决时间 2021-07-30 06:05

sc_corproc.vhd

--

-- Calculates Sine and Cosine values

--

-- uses: p2r_codic.vhd and p2r_cordicpipe.vhd

-- system delay: 21 (data out delay: 20)library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

entity sc_corproc is

port(

clk : in std_logic;

ena : in std_logic;

Ain : in signed(15 downto 0);

sin : out signed(15 downto 0);

cos : out signed(15 downto 0));

end entity sc_corproc;

architecture dataflow of sc_corproc is

constant PipeLength : natural := 15;

constant P : signed(15 downto 0) := x"4dba"; -- define aggregate constant

component p2r_cordic is

generic(

PIPELINE : integer := 15;

WIDTH : integer := 16);

port(

clk : in std_logic;

ena : in std_logic;

Xi : in signed(WIDTH -1 downto 0);

Yi : in signed(WIDTH -1 downto 0) := (others => '0');

Zi : in signed(WIDTH -1 downto 0);

Xo : out signed(WIDTH -1 downto 0);

Yo : out signed(WIDTH -1 downto 0)

);

end component p2r_cordic;

begin

u1: p2r_cordic

generic map(PIPELINE => PipeLength, WIDTH => 16)

port map(clk => clk, ena => ena, Xi => P, Zi => Ain, Xo => cos, Yo => sin);

end architecture dataflow;

帮忙大概解释下上面这个程序,它的大体结构以及实现的功能。万分感谢

最佳答案
这个程序只不过实现了一个元件例化。压根看不出是什么功能的,你应该把p2r_cordic.vhd的程序一起贴出来,这个程序的主要功能主要由调用p2r_cordic.vhd实现的。看这部分程序,应该是16位的二进制加法器吧。
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
任务栏没有声音图标是怎么回事?(附图)急
电子表怎样将电能转化为声音
世界上最高兴的事情是什么?最痛苦和最痛快的
重火器拔出的速度是攻速还是移动速度
啥时候能开通抢车位
伍家岗区宜昌六一费艄庠皘|type哪位知道具体
过耳短发,脸有点大,适合波波头吗?什么颜色
有没有高手帮忙,金鹏怎么下手机QQ
1平方的电缆线能通过的最大电流是多少.怎么计
主板自带的集成显卡
手机QQ上的情侣空间会不会发展到QQ空间上?
如何开自己的博客
如果你突然发现上不了网了你咋办 ??
别人考上大学幽默祝福,侄女考起大学的祝福语
栾川县洛阳栾川县栾川乡甜梦山庄哪位知道具体
推荐资讯
天龙八部谁给我个80左右的好玩玩
给几首英文歌或日文歌,不求类型只求好听,多
萨尔图区大庆杨记八珍熟食地址是什么,有没有
DF街霸的连招是什么
喜羊羊与灰太狼中暖羊羊是男生还是女生?这个
阿城区哈尔滨大年小年百姓家菜坊地址在哪,我
AMD4800+能配影驰9600GT吗?
失望的背后隐藏了什么
买什么险种好,一般家庭如何选择保险及普通家
1949年的一万元纸钱可以兑换吗?
封丘县新乡天工画室我想知道这个在什么地方
实习生应该掌握那些知识呢
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?