永发信息网

verilog中用modelsim仿真,怎么让forever里面的内容运行多少次后停止

答案:3  悬赏:70  手机版
解决时间 2021-04-05 20:30
verilog中用modelsim仿真,怎么让forever里面的内容运行多少次后停止
最佳答案
先写个task或function,然后在initial里面调用100次,最后停止就OK了。追问我想请教一下,怎么在initial里调用100次呢?是用for循环吗?那该怎么写呢?谢!追答给你写个完整的吧:

module tb1;

reg clk;
reg a;
reg [6:0] counter;
reg rst_n;
always #5 clk=~clk;

initial
begin
clk=1'b0;
rst_n=1'b1;
#1
rst_n=1'b0;
#1
rst_n=1'b1;

wait(counter==7'd100)
$finish;
end

always@(posedge clk or negedge rst_n)
begin
if(!rst_n)
counter <= 7'b0;
else
begin
counter <= counter + 7'b1;
tsk1;
end
end

task tsk1;
begin
#0 a=1;
#10 a=0;
end
endtask

endmodule
全部回答
另写一个
initial
begin
#1000 $finish
end
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
保时捷迈凯后刹车片怎样换
描写男人外貌的句子,描写人帅气男生外貌的句
观赏鱼生得是鱼卵还是小鱼
9分之4乘以16分之1加115乘以9分之5如果简便算
谁带小女子玩lol呀,O(∩
如图:若入射光线沿BO方向射到镜面上,则其反
变速箱标有MT和CVT是什么意思?
今天无套了 用了液体套 但是没有过满20分钟就
西华大学车辆工程的研究生出来一般都去了什么
我有一些儿童车想送给孤儿院,天津市哪里有年
白酒烫着喝科学吗 白酒加热喝的好处买购网
沈丘县周口高记卤肉店怎么去啊,谁知道地址啊
同学聚会三句半台词,求助五十年代老同学聚会
程序员在家里有台式机吗
玉越戴越亮是好玉吗
推荐资讯
高中怎样学好生物(已经高三了)
下列有关物质的化学式、名称、俗名不完全对应
筷子兄弟是不是韩国人,有人在淘宝的兄弟体育
沃尔玛有卖木炭和烤炉的么?
钓浮怎样看漂
和喜欢的人吵架了,怎样安慰她的短信
我们把西周灭亡到秦统一的历史分期叫做什么
你干嘛日语怎么说,你在做什么 用日语怎么说
狄青是哪个朝代的人
单选题中国近代签订丧失国土最多的条约时,在
梦幻西游五开卖号身份证和卡号手机号怎么办
表达我回来了的语录,求冯巩的幽默语录
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?