永发信息网

verilog 程序 abcd都是四位二进制 如何实现 X=8A+4B+2C+D,当输入数4<X≤15时为1否则为0

答案:2  悬赏:60  手机版
解决时间 2021-04-04 19:22
求程序啊
最佳答案
好像之前看过这个题目,代码如下
module adder(
input a,b,c,d,
output [3:0] x,
output y
);
assign x = (a<<3)+(b<<2)+(c<<1)+d ;
assign y = ( (x >4'd4 ) && ( x<= 4'd15) ) ? 1'b1 :1'b0 ;
endmodule
绝对没问题的,如果有问题继续追问
全部回答
好像之前看过这个题目,代码如下 module adder( input a,b,c,d, output [3:0] x, output y ); assign x = (a&lt;&lt;3)+(b&lt;&lt;2)+(c&lt;&lt;1)+d ; assign y = ( (x &gt;4'd4 ) &amp;&amp; ( x&lt;= 4'd15) ) ? 1'b1 :1'b0 ; endmodule 绝对没问题的,如果有问题继续追问
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
貂蝉的台词是什么意思,是你先让我失望的,那
关于线路板PE工程师!
PCB板上有很多小孔是干什么用的?
alias用Ctrl+5 打开信息窗口,可是显示的窗口
下列说法正确的是A.同旁内角相等,两直线平行
爱格中最感人的句子,金庸武侠小说中激动人心
关于星星的祝福语贺卡,521颗星星的祝福语要怎
20岁还在玩飞车你们怎么看
I'msurethatstricterrolestocontrolcigar
it's fun to do 换成 感叹句是what fun
面包上面的香葱末放什么才烤不黄
上海交通大学,南京师范大学和中南财经政法大
大小头的圆柱体怎么算体积
NDS游戏[爱相随]我玩到主人公做梦和高岭那里
今年大年初一,傍晚的时候我家的牛就不明不白
推荐资讯
土耳其伊斯坦布尔哪家软糖店和苹果红茶有名?
Java扩展函数
成都市新都区斑竹园镇的小区宽带
德系车,美系车,日系车都有什么品牌
大家的z2外放破音吗
受众特点的意思,"受众"一词是什么意思?
我的世界有一种紫色的鱿鱼,叫什么?
求精灵使的剑舞1到15卷的epub
在东方融资网交款申请贷款后对方一直没有给贷
大张挞伐是什么意思,"挞伐"是什么意思?
如图是血涂片在显微镜下的一个视野图.(1)
沥青路面冒浆什么原因
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?