永发信息网

关于verilog里的module调用问题,例如下,怎么写才能使下例成功运行,谢谢先

答案:1  悬赏:50  手机版
解决时间 2021-11-28 09:19
关于verilog里的module调用问题,例如下,怎么写才能使下例成功运行,谢谢先
最佳答案
`timescale 1ns/100ps
module testbench ();

reg a;
//reg b;
wire c;

trrry DUT (
.a(a),
//.b(b),
.c(c)
);

initial begin
a = 1;

end

endmodule

module trrry(a, c);
input a;
wire b;
output c;

ad ad(.a, .b);

bc bc(.b, .c);

endmodule

module ad(a, b);
input wire a;
output b;

always @ (*)
b = a;

endmodule

module bc(b, c);
input wire b;
output c;

always @ (*)
c = b;

endmodule

我改了一些,你试试
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
手机上的电源ic跟稳压ic电源不一样么?
涉县公安局更乐派出所地址在什么地方,我要处
2000A母线槽用什么规格的铜排
荒岛求生健康值过低怎么办
乌龟和什么东西一起炖有营养
幻方小报怎么做啊
龙山县湘西蒙娜丽莎皮具地址在哪,我要去那里
立春时节有哪些养生之道
大家问路的时候是怎么称呼对方的
猎聘和智联哪个靠谱
有一首歌歌词有一句是事到如今已经没有谁离不
从蓄研所到小店区李家庄菜市场怎么走
出油阀的功用
我们班今天坐电梯下楼,大概有二十来个人,关
爱你,罗茜的剧情简介
推荐资讯
万利驾校考科四多少钱
中通快递镇赉公司(南湖街)地址有知道的么?有
The lady have done something wrong, becau
我的祖国手抄报内容
怎样在r语言 中 只改变 图例框大小 而不改变
撕名牌体验馆地址有知道的么?有点事想过去!
最爱的老公为了救我溺水死亡了,我承受不了这
平的成语有哪些
央行又降低利息了
湘f3yj是岳阳哪里的车
充电电钻那个牌子好
请问从阳谷到上海怎么走最近
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?