永发信息网

在VHDL书写代码的过程中,ARCHITECTURE里边不能有WHEN...ELSE语句吗?

答案:2  悬赏:60  手机版
解决时间 2021-02-13 04:17
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY choose_2_1 IS
PORT
(
INPUT0,INPUT1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
WAY:IN STD_LOGIC;
OUTPUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END choose_2_1;
ARCHITECTURE mux_behavior OF choose_2_1 IS
--BEGIN
--OUTPUT<=INPUT0 WHEN WAY='0' ELSE
-- INPUT1;
BEGIN
PROCESS( INPUT0,INPUT1,WAY )
BEGIN
OUTPUT<=INPUT0 WHEN WAY='0' ELSE
INPUT1;
END PROCESS;
END mux_behavior;
最佳答案
OUTPUT<=INPUT0 WHEN WAY='0' ELSE
INPUT1;
是一个并行语句,可以出现在结构体ARCHITECTURE中,但不能出现在进程PROCESS BEGIN中。
你注释掉的那几行是对的,应当去掉PROCESS BEGIN和END PROCESS;。
全部回答
没有
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
在我国城镇化进程中,国家对一千多个富有特色
记录仪缩影怎样正常播放,各位车友有了解这个
松下·万宝压缩机公司在哪里啊,我有事要去这
杭州西溪中学晚上要自习吗?
兴隆楼我想知道这个在什么地方
泰安火车站这个地址在什么地方,我要处理点事
河北有衡州市吗
哪些建筑必须使用抗震钢筋,哪些可用可不用
怀念母亲的读书笔记
光山县信阳寨河大酒店这个地址在什么地方,我
电伴热系统的用电负荷算不算消防负荷
培训机构的名称以及标志
想要买正宗的高原青稞酒,厦门哪里有?好像天
新帕萨特能升降底盘么?
内蒙古辽河工程局怎么去啊,有知道地址的么
推荐资讯
从山东曹县到安徽歙县过境费 油费多少
中国移动通信晨晨通讯手机专卖店这个地址在什
小九科技在什么地方啊,我要过去处理事情
鱼草缸1.1米长.30公分宽.70公分高造景图片
投OE状态为Preproduction Review什么意思
橡树·橡树怎么去啊,有知道地址的么
广西壮族自治区融安县公安局在哪里啊,我有事
请问河北省高速公路管理局怎么样,待遇如何啊
后宫(东银大厦店)地址好找么,我有些事要过去
请问如何通过标麦看出NIKE鞋是真还是假?据说
米兰印象酒店在什么地方啊,我要过去处理事情
凯旋景苑东南门这个地址在什么地方,我要处理
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?