永发信息网

vhdl程序设计 小函数求解

答案:1  悬赏:80  手机版
解决时间 2021-04-13 20:53

function Delta(Arg : signed; Cnt : natural) return signed is
variable tmp : signed(Arg'range);
constant lo : integer := Arg'high -cnt +1;
begin
for n in Arg'high downto lo loop
tmp(n) := Arg(Arg'high);
end loop;
for n in Arg'high -cnt downto 0 loop
tmp(n) := Arg(n +cnt);
end loop;
return tmp;
end function Delta;

这是什么函数,实现什么功能,具体透彻点

最佳答案
你好 楼主。

很幸运的看到你的问题。
但是又很遗憾到现在还没有人回答你的问题。也可能你现在已经在别的地方找到了答案,那就得恭喜你啦。
可能是你问的问题有些专业了,没人会。或者别人没有遇到或者接触过你的问题,所以帮不了你。建议你去问题的相关论坛去求助,那里的人通常比较多,也比较热心,可能能快点帮你解决问题。
希望我的回答也能够帮到你!
祝你好运。
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
你家的打印机是什么牌子什么型号的?好用吗
全家同时申请的移民为什么有的已经出去了我还
成大汽修在哪里啊,我有事要去这个地方
PS4功能有多少是不是能看电影
单选题下列情况下所指的数值,为交变电流峰值
梦幻诛仙阵书
哪里培训元宵 一对一教学汤圆技术
DNF如何删除角色(绑了2级密码)说详细点
诺基亚5320和诺基亚5300哪个重?
怀化那里有三星E958的外壳
想念同事的句子同事旅游还没归
天宝二手车行这个地址在什么地方,我要处理点
单选题神经纤维受到刺激后会产生动作电位并沿
The boy with a pair of glasses is my cousi
QQ旋风多少积分点亮?是自动还是手动?
推荐资讯
随意找13位老师,他们中至少有2个八的属相相
有什么好歌吗,介绍几首来听听
魔域血族灵能等级练满再合血能啊要紧!
前置技能都学了SP也够为什么学不了暗天波动眼
高中毕业老师寄语,高中毕业了,要给学弟学妹
18918180479是不是房产中介的
游戏老更新,占用内存越来越大
苹果泥吐司的做法步骤图,苹果泥吐司怎么做
在天津的医科大学总医院验过敏性鼻炎的过敏源
有关‘‘光’’的名人名言有 20分
双下这个地址在什么地方,我要处理点事
一个女孩说你长的帅 天天说 是什么意思
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?