永发信息网

虽然学过一段时间的Verilog,但有些相关的问题还是不怎么明白

答案:3  悬赏:80  手机版
解决时间 2021-01-24 12:34
虽然学过一段时间的Verilog,但有些相关的问题还是不怎么明白
最佳答案
1.#10一般在test仿真文件里使用,表示延迟10个单位时间
2.`define是宏定义,它的一般形式为:`define 标识符(宏名)字符串(宏内容)
如`define signal string,它的作用是指定用标识符signal来代替string这个字符串,在编译预处理时,把程序中在该命令以后所有的signal都替代称string。这个方法使用户能以一个简单的名字代替一个长的字符串,也可以用一个有含义的名字来代替没有含义的数字和符号。
$display(p1,p2,...,pn);作用是用来输出信息,即将参数p2到pn按参数p1给的格式输出。
在QuartusII上进行仿真,就要建立一个wave文件,
在modelsim上进行仿真,就必须再写一个test文件,然后编译仿真
3.你可以去下载FPGA设计培训指导手册,里面有例子,可以按着例子一步一步的做下来,我也是这么做的《FPGA设计初级班培训实验指导手册》《FPGA设计提升班培训实验指导手册》参考资料:verilog数字系统设计教程
全部回答
1. 这个是在仿真中用的,延时10个单位
2. 'define就和C语言的define一个用法, $display一样是用于仿真的。10us/1us是说一个时间单位为10us但是精度是1us啦
3. 我感觉有开发板就能学好,关键是想学啦,你可以学学NIOS II,这个很强大也很好用。你可以试试低级建模啊,根据这个做做不错,可以搜索特权同学的视频,一步步跟着视频做不错。还可以去黑金开发板的论坛下载他们的教程,verilog那些事儿系列,学习下。最后有个实际项目做做是最好啦
路过,暂时保留意见!
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
客迷联通营业厅地址好找么,我有些事要过去
耳朵听力下降吃什么药
My pen pal Peter came to Beijing 3 months
古诗长征,远征指的是什么,远征发生的原因是
广粤通讯地址好找么,我有些事要过去
开展无烟活动有什么意义
说出圣经里耶稣一生中与40有关的至少三件事
九年级语文蓉城学堂阅读周练
cad打开文件就死机,是怎么回事
中国移动(四川北路营业厅)地址在什么地方,我
单选题AtfirstIwantedtotalktoUncleS
平安产险新渠道业务部地址在哪,我要去那里办
城南供电营业厅地址在什么地方,我要处理点事
下列能源在使用的过程中,可能会引起酸雨的是
中国电信(智能公话站)地址在哪,我要去那里办
推荐资讯
停车场(新世界购物广场西)地址在什么地方,我
鑫华东方网吧地址好找么,我有些事要过去
填空题计算(6-5i)+(3+2i)=________
派多格宠物怎么去啊,我要去那办事
和一男人闹分手,和他说三天之内如果不来找我
单选题下列物质中,属于易燃物的是①酒精②白
停车场(药园小区东北)地址在什么地方,想过去
历史学家杨天石在《帝制的终结——简明辛亥革
我家在湖北省公安县,为什么我们这的数字电视
如图是鸟卵的结构示意图,请分析回答:(1)
表现人无计可施的词语有哪些?
【致大海】致大海读后感是普希金的
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?