永发信息网

EDA项目设计

答案:1  悬赏:0  手机版
解决时间 2021-11-26 17:00
EDA项目设计
最佳答案
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY downcount is
port(clk:in std_logic;
reset:in std_logic;
q:out std_logic_vector(4 downto 0));
end entity;
architecture tr1 of downcount is
signal count:std_logic_vector(4 downto 0);
begin
process(clk,reset)
begin
if clk'event and clk='1' then
if reset='0' then
count<=(others=>'1');
else
count<=count-1;
end if;
end if;
end process;
q<=count;
end tr1;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
TFA9843J的替代品是什么?
奔腾电磁炉质量怎么样
美的挂烫机怎么加水
南苑乡新宫村环境卫生保洁队地址好找么,我有
古字查询,这个字念什么是什么意思呢?
如何正确的进行液相色谱法的含量检测
我想问问轻松上网APP上要输入管理员密码,是
下半年什么基金会好些
施工组织设计编制内容及要求
GY6摩托车放炮和什么有关?不停放炮 汽油里没
国家电网(蚌埠市西供电营业厅)地址好找么,我
周乐欢这个名字有什么含义吗?
女朋友家嫌弃我家条件不好不同意怎么办
怎样查询三星手机有没有过保
两根杆连着一个滑块算是复合铰链么
推荐资讯
爹字有几个读音
芎经园地址有知道的么?有点事想过去!
保温杯里的过滤网老是连同杯盖一起被打开
猪的那个左右乱摔人怎么发
杨坚篡夺周朝江山 为什么要杀禅位的宇文阐 和
fraps怎么压缩视频
海淀区龙岗路去 朝阳-三元桥 三元西桥太阳星
宜家的台灯一定要配指定的灯泡吗
东平宾馆(南平政和县)地址在什么地方,我要处
麻将有108颗,《水浒传》里讲到了108位好汉。
LOL关于那个荣誉饰带怎么 才能显示???
Giant(捷安特)沁阳专卖店地址在什么地方,想
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?