永发信息网

在VHDL中常用的 预定义程序包有哪几个,怎样使用这些程序包

答案:1  悬赏:60  手机版
解决时间 2021-03-14 12:52
在VHDL中常用的 预定义程序包有哪几个,怎样使用这些程序包
最佳答案
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
跟C类似,把这些库象头文件一样加到程序前面

1.std_logic_1164 IEEE的标准库,仅定义了std_ulogic, std_ulogic_vector, std_logic, std_logic_vector等类型以及他们的逻辑操作(and, or, xor, not, nand, nxor, nor)

2.numeric_std IEEE的标准库,定义了unsigend/signed以及他们的算术运算(包括与integer),+,-,*,/, rem, mod, abs ,to_integer/to_signed/to_unsigned也都在这个库里面定义。

3.std_logic_arith 是synopsys的一个扩展,定义了unsigned, signed与integer, std_ulogic之间的算术运算、关系运算(>, <, >=, <=, =, /=)算术运算返回类型可以是signed, unsigned或std_logic_vector还定义了unsigned, signed, integer, std_logic_vector机种类型之间的转换函数CONV_STD_LOGIC_VECTOR, CONV_INTEGER, CONV_SIGNED, CONV_UNSIGNED

4.std_logic_unsigned 是synopsys的一个扩展,定义了基于std_logic_vector与std_logic_vector、std_logic_vector与integer之间的算术运算, >, <, >=, <=, =, /=返回类型是std_logic_vector或boolean注意这里面的function的运算都是基于unsigned,就是说std_logic_vector和integer都变成unsigned之后再用std_logic_arith库中的function完成

  std_logic_signed跟std_logic_unsigned类似,唯一的差别是,这里面的function都是先把操作数(std_logic_vector或integer类型)都转换成signed之后再用std_logic_arith库中的function完成

  在用这些库的时候,基本原则是要知道你想要EDA软件实现什么样的运算。大部分情况下,std_logic_vector应该作为unsigned参与运算。如果一定要std_logic_vector作为signed参与运算,最好用显示的to_integer来做。
  
  Tips: 看到有人说, std_ulogic比std_logic好的地方在于,simulator能报告多个driver shorted together的bug。其他方面,std_ulogic与std_logic完全兼容。
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
励磁速度PPS什么意思?
emi电源滤波器在multisim元件库里怎么找?
电梯下坠危险吗?
美慧山庄苗木花卉服务中心我想知道这个在什么
求王力宏美国男友玉照
《杨贵妃-王朝的女人》,在唐玄宗时代,就有
营业执照不是自己的,可以开店吗?工商可以说
暗恋的人有女朋友了,我算不算失恋?暗恋算初
连南瑶族自治县三排林业科技推广站地址在什么
小孩衣服扔在垃圾堆上可以吗
鼻子很硬就是奥美定吗?
人工授精术前检查什么
去干洗一件冬天的外套要多少钱?
歇后语 大年五更从月亮 后句
砖桥丁记饭店地址在哪,我要去那里办事
推荐资讯
日本电影:泪壶的下载地址
什么才知道自己是帅还是丑或还行,,,,??
活佛济公2里的柳昊天是谁演的
肺癌三部曲的真实性
练字时先练哪些字?
沪上阿姨西夏墅店地址在什么地方,想过去办事
杨家滩花园2区地址有知道的么?有点事想过去
桓台到张店茂业天地怎么坐车
steam大屏幕模式要什么样的屏幕
英雄联盟 s6 地图修改 或者说那几个体图分别
呼和浩特市比较好的婚庆公司是哪家?
挠力河一号桥我想知道这个在什么地方
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?