永发信息网

VHDL 伪随机序列发生器

答案:1  悬赏:10  手机版
解决时间 2021-11-25 03:14
VHDL 伪随机序列发生器
最佳答案
library ieee; 
use ieee.std_logic_1164.all; 
use ieee.std_logic_arith.all; 
use ieee.std_logic_unsigned.all; 
entity PRSG is 
port (reset,clk:in std_logic; 
sel:in std_logic_vector(1 downto 0); 
dout:out std_logic_vector(7 downto 0)); 
end PRSG; 
architecture behavioral of PRSG is 
signal ddout:std_logic_vector(7 downto 0);
signal temp:std_logic; 
begin 
process(sel) 
begin 
if reset='1' then 
ddout<="00000000"; 
elsif clk'event and clk='1' then      ------这里改下就行了
case sel is 
when"00"=>ddout<="01010001"; 
when"01"=>ddout<="00110001"; 
when"10"=>ddout<="10001001"; 
when others=>ddout<="01111001"; 
end case; 
temp<=ddout(0) xor ddout(3);
dout(0)<=ddout(1);
dout(1)<=ddout(2);
dout(2)<=ddout(3);
dout(3)<=ddout(4);
dout(4)<=ddout(5);
dout(5)<=ddout(6);
dout(6)<=ddout(7);
dout(7)<=ddout(7) xor temp;
end if;  
end process; 
end behavioral;

我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
我女朋友被着我跟别的男人好上了,还一起出去
赣州火车站有没汽车到崇义
请问这又是啥游戏呀?难道是新版给苹果的游戏
中国农业银行德惠支行营业室地址在什么地方,
洛奇 精灵野性化任务怎么接?
冬至祭妻诗句,古诗
宜兴好享家舒适家居地址在什么地方,想过去办
为什么武当山戊日不打罄
熟碗豆怎样才放得久
二毛学校地址在什么地方,我要处理点事!
三点水一个曼可以组哪些词
steam删掉游戏库中没有了去商店看的时候却显
帝豪火锅城怎么去啊,有知道地址的么
中银平稳理财计划智荟系列风险大吗
我快19了每天在家好不好
推荐资讯
仙五前折剑山庄里有个奇怪的食客,他说有飞龙
秋季菊花性格特点
有没有闹洞房和闹新娘新郎好点子?越多越好
粤江南的脆皮肠粉好不好吃
北京有什么特色的小吃和东西适合做伴手礼?
蒙古高原在中国鸡行地图上的哪个位置
牙齿矫正好了但去别的地方摘牙套会怎样
吴江瑞义到华丰坐那路公交
燃气日丰管 与 原 管道如何连接
海水的密度大于河水的密度,当军舰(保持质量
风景地方的小柏树是不是侧柏树
Win10系统用什么轻松填表
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?