永发信息网

为什么这个程序提示fpga的:Error (10500): VHDL syntax error at zlddjpwm.vhd(30) near text "h";

答案:2  悬赏:70  手机版
解决时间 2021-03-29 10:54
为什么这个程序提示fpga的:Error (10500): VHDL syntax error at zlddjpwm.vhd(30) near text "h";
最佳答案
我用Verilog的
你看一下是不是h和e前面都要加signal的
不是的话算我没说
全部回答
你吗
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
5险一金自己承担388元,公司给交多少
和男朋友分手和好之后 害怕他发消息给我 也害
小猪误吃了蚊香了怎么办
跪求4篇 假期读书心得,尽快。 200字-300字。
怎么把黄×下载到电脑上?下载到电脑之后怎么
单选题麦克纳尔评价慈禧太后在镇南关大捷后的
厦门江头旧货市场有卖家具吗?
学生打的防疫针小四a+c是预防什么的?
咸安区咸宁悦来酒家我想知道这个在什么地方
地肤子和红糖一起吃管什么的
化妆以后毛孔越来越粗怎么办
母亲想和父亲离婚可父亲不肯还要挟如果离婚要
佳能单反电池需要激活吗?
ZRBVR(2*2.5)是电缆还是电线
女孩名字好的寓意成语有哪些?
推荐资讯
前范庄我想知道这个在什么地方
昂达a880 780 d3网卡驱动下载
某液压泵输出口的压力1.1MPa,流量为16L/
重庆北到太原的K690次硬卧下铺票是多少钱
密山电信宽带一年多少钱
社牧的诗歌特色
头皮屑好多,像雪花一样是怎么回事?
现在有什么像泰拉瑞亚的样子的游戏吗?(:是
一捆网30斤一共50米,请问一斤是多少钱,24斤
清风江上秋帆远,白帝城边古木疏.中的疏什么
有6个人一起干活,他们一天的工资是1800,其中
宝成国际集团的发展历程
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?