永发信息网

4位向量乘法器什么意思啊

答案:2  悬赏:60  手机版
解决时间 2021-05-05 23:48

先解释,可以的话用VHDL实现

最佳答案

保准没错,百试不爽。浪老师都说对。


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity vector4 is
port(a:in std_logic_vector(3 downto 0);
b:in std_logic_vector(3 downto 0);
y:out std_logic_vector(7 downto 0));
end entity vector4;
architecture art1 of vector4 is
signal d: std_logic_vector(7 downto 0);
signal da: std_logic_vector(7 downto 0);
signal dat: std_logic_vector(7 downto 0);
signal data: std_logic_vector(7 downto 0);
begin
process(a,b)----(0),a(1),a(2),a(3),b(0),b(1),b(2),b(3))
begin
if a(0)='1' then
d(0):=b(0);
d(1):=b(1);
d(2):=b(2);
d(3):=b(3);
d(7 downto 4):="0000";
else
d(7 downto 0):="00000000";
end if;
if a(1)='1' then
da(1):=b(0);
da(2):=b(1);
da(3):=b(2);
da(4):=b(3);
da(0):='0';
da(7 downto 5):="000";
else
da(7 downto 0):="00000000";
end if;
if a(2)='1' then
dat(2):=b(0);
dat(3):=b(1);
dat(4):=b(2);
dat(5):=b(3);
dat(1 downto 0):="00";
dat(7 downto 6):="00";
else
dat(7 downto 0):="00000000";
end if;
if a(3)='1' then
data(3):=b(0);
data(4):=b(1);
data(5):=b(2);
data(6):=b(3);
data(2 downto 0):="000";
data(7):='0';
else
data(7 downto 0):="00000000";
end if;
y<=data+dat+da+d;
end process;
end architecture art1;



四位为矢量相乘:就是俩个二进制数相乘,这俩个二进制数里每个二进制数都是四位的。如:1111*0001=00001111.DO YOU KNOW ,MY SISTER ?

全部回答

就是具有4位的输入信号进行向量运算,然后输出最终结果(不知道你的要求是几位的,我的理解应该是输入输出出应该包括模长和角度)你再说详细点,要不程序没法写。

我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
什么时候能遇到?
郭品超 安以轩一起演过的电影还有哪些?
请问厂里的保安在未经使用人的同意下撬开了厂
高伯魔力秀腿增高鞋
摩托V303怎么使用QQ和使用飞信
深圳市福田区的防疫站的地址和电话号码是什么
1)有3个人去投宿,  一晚30元.  三个人每
我空间的花藤指数比别人高为什么排名在人家后
国内COACH手袋声誉怎样?
猫肉怎么弄才好吃啊?
谁能邀请小弟进入QQ牧场!小弟QQ:416940609
郸城县周口中国邮政储蓄银行(新华路支行)怎么
男孩结婚后是不是就成男人了?
QQ里的玫瑰小镇、抢车位、好友买卖有时会显示
现在的QQ直播不能聊天了么?
推荐资讯
男人与女人的区别在那方面尤为突出?
抢车位是根据什么排名?
晚上,怎样取暖、?
求南京北大青鸟招生简章!
爱的美文摘抄十篇,急求呢!!!!!!美文摘
OPPO天地传音店怎么去啊,有知道地址的么
造价员要考什么科目?
如何增加问问的积分啊?
山语街地址在哪,我要去那里办事
在一个非常爱你的女孩,可以原谅她犯的错误,
香香饼店地址在哪,我要去那里办事
叫馨晨的,姓什么好?
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?