ModelSim跑仿真的时候出不了图像怎么办?
答案:2 悬赏:70 手机版
解决时间 2021-01-23 23:19
- 提问者网友:夢醒日落
- 2021-01-23 00:29
这是我的testbench 我把时钟翻转放到initial后面就有图像, 但是为什么我把它放到initial前面就出不了图像了? `timescale 1 ns / 10 ps //定义时间精度 module biu_testbench ; reg clk; reg rst; reg wr; reg rd; reg ad; reg dati; biu biu_te ( .clk ( clk ), .rst ( rst ), .wr ( wr ), .rd ( rd ), .ad ( ad ), .dati ( dati ), .dato ( dato ), .cmd ( cmd ), .wr_en ( wr_en ), .rd_en ( rd_en ), .addr ( addr ), .wr_dat ( wr_dat ), .rd_dat ( rd_dat ) ); initial begin clk=0; rst=0; wr=0; rd=0; ad=0; dati=0; # 1000; $finish; end always clk = ~ clk; endmodule
最佳答案
- 五星知识达人网友:白昼之月
- 2021-01-23 00:38
我也不知道··· 这个问题太深奥啦,,, 应该去请教专业人士。。。
全部回答
- 1楼网友:天凉才是好个秋
- 2021-01-23 01:56
可以私聊我~
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
推荐资讯
正方形一边上任一点到这个正方形两条对角线的 |
阴历怎么看 ? |