永发信息网

verilog八位移位寄存器错误。。

答案:2  悬赏:0  手机版
解决时间 2021-04-04 13:51
module (S1,S0,D,Dsl,Dsr,Q,CP,CR);
input S1,S0;
input Dsl,Dsr;
input CP,CR;
input [7:0]D;
output [7:0]Q;
reg [7:0]Q;
always@(posedge CP or negedge CR)
if(~CR)Q<=8'b00000000
else
case ({S1,S0})
2'b00:Q<=Q;
2'b01:Q<={Q[6:0],Dsr};
2'b10:Q<={Dsl,Q[7:1]};
2'b11:Q<=D;
endcase
endmodule

错误是Error (10170): Verilog HDL syntax error at near text "else"; expecting ";"
请教一下这个后边要不要加; 加了也有问题。。。。
最佳答案
当然要加分号了,分号表示一条语句的结束啊。
如果还有错,看看是不是输入了中文状态下的分号了。
全部回答
ok 用verilog设计用d触发器构成的四位移位寄存器 我整理好发送你。
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
tfboys王源的嘟嘟属什么品种
请设计实验方案证明以下抗酸药含有氢氧化铝,
百度仙游县大济镇姓陈人口有多少人
咽喉炎吃什么菜好
怎么把DVD上的内容考到电脑上
蝴蝶是大多数完全变态还是全部完全变态
怎么从WIN7旗舰版再回到家庭版?急!
在武汉市一个人能买几套房子?
世佳商务大厦在什么地方啊,我要过去处理事情
重庆北动车站在哪里,重庆坐动车在哪个轻轨站
成都到郫县公交站怎么坐车
此事为事,彼事为非是什么意思能解释清楚一点
为什么c#源代码里的注释是中文?
休闲野钓需要注意什么
女孩姓杨梦字辈什么名好听
推荐资讯
数字推理:32,1,20,_,15,10,_
单选题两个底面积不同的圆柱形容器A和B(SA<
我想要南江秋措的《你是我一生最爱的人》的歌
谁有集云山的传说或神话
舞台背景屏幕 P4屏 4米*7米 用多大分辨率的视
一个女人对自己的丈夫忠心,怎样委婉的拒绝另
跟着自己的心走的句子
怎么解决啊,miflash刷机出现错误
如果经理给你一份老员工的报表让你参照上面数
3k全自动麻将机这个地址在什么地方,我要处理
可怜的家光将军,阿万对他就这么无情么
求:轮回-ロンド 罗马音歌词
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?