永发信息网

简述实体的定义及其语句结构

答案:1  悬赏:50  手机版
解决时间 2021-01-21 22:19
简述实体的定义及其语句结构
最佳答案
VHDL语言的基本结构

VHDL语言通常包括库说明、实体说明、结构体说明3个部分。
library ieee;
use ieee.std_logic_1164.all; --库说明
entity dff1 is
port(clk,d:in std_logic;
q:out std_logic);
end dff1; --实体说明
architecture rtl of dff1 is
begin
process(clk)
begin
if(clk'event and clk='1')then
q<=d;
end if;
end process;
end rtl; --结构体说明

VHDL提供5个库,IEEE库,STD库,VITAL库,自定义库和WORK库
IEEE库包含的常用程序包有:
std_logic_1164:常用数据类型(其中有std_logic、std_logic_vector数据类型)和函数的定义、各种类型转换 函数及逻辑运算。
std_logic_arith:它在std_logic_1164的基础上定义了无符号数unsigned、有符号数signed数据类型并为其定义了相应的算术运算、比较,无符号数unsigned、有符号数signed及整数integer之间转换函数。
std_logic_unsigned和std_logic_signed:定义了integer数据类型和std_logic及std_logic_vector数据类型混合运算的运算符,并定义了一个由std_logic_vector型到integer型的转换函数。其中std_logic_signed中定义的运算符是有符号数运算符。
STD库是标准库,包含两个程序包:
standard:定义了基本数据类型、子类型和函数及各种类型的转换函数等。
textio文本程序包:定义了支持文本文件操作的许多类型和子程序等。在使用textio程序包之前,需要先写上use语句use std.txtio.all。
VITAL库:使用VITAL可以提高门级时序仿真的精度,一般在VHDL语言程序进行仿真时使用。主要包含两个程序包。
VITAL_timing:时序仿真包
VITAL_primitives:基本单元程序包
WORK库,是现行的工作库,设计人员设计的VHDL语言程序的编译结果不需任何说明,都将存放在WORK库中。WORK库可以是设计者个人使用,也可提供给设计组多人使用。
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
diana king的《shy guy》歌词翻译
含金属钇的黄金怎么样辨别啊?除了用火烧法
众泰t600s标志到底是z还是英文
唐河县南阳林记味道地址在什么地方,想今天过
机械加工过程中切屑液和废料混合在一起算不算
有这样的一种阀 就是拧下开关 换下一个出水口
用Na表示阿伏加德罗常数的值,现有16gCO和
阿修罗不穿板甲可以吗?
老蛙15mm f2有尼康口吗
被雪貂咬了怎么办?
想知道:福州市 从闽运长乐客运站到古槐镇怎么
下列各大洲按面积大小排序正确的是A.北美洲、
东莞长安沙头那里有作鸡?
华玉物流地址在哪,我要去那里办事,
事业单位单独购买财务软件帐务处理
推荐资讯
---Soyougaveheryourphone?---______,shesaid
请问斗地主3333+4444可以一起出吗?会不会有
我外孙女马上一周岁了。送点什么礼物?
中印真的会开战吗?
武汉市说唱团地址有知道的么?有点事想过去
快乐衣站地址在什么地方,想过去办事,
平谷玉田丰饭店
单选题西北干旱和半干旱地区的荒漠化日趋严重
下列说法:①顶点在圆周上的角是圆周角;②圆
求帮助aj1公牛布面和皮面区别 两款鞋子一直傻
No matter how far away from you forever, I
解答题和平交流是文明传播的主要方式,其典型
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?