永发信息网

FPGA外部能输入高低电平怎么检测 然后输出两个引脚的VHDL程序

答案:1  悬赏:0  手机版
解决时间 2021-03-21 15:34
FPGA外部能输入高低电平怎么检测 然后输出两个引脚的VHDL程序
最佳答案
直接判断输出就可以了。
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.ALL;

ENTITY bit_detect IS
PORT
(

data1b_in : in std_logic;
data2b_out : out std_logic_vector(1 downto 0)

);
END bit_detect;

ARCHITECTURE arc OF bit_detect is

BEGIN

data2b_out<="10" when data1b_in='1' else
"01" when data1b_in='0' else
"ZZ";

END arc;
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
听力下降带助听器就能听得清楚吗?
何贴这个地址在什么地方,我要处理点事
垃圾人欺负我我又气又怕该怎么办
大学生套改士官,直招士官服满多久才能转业
一个方块三个三角减三个园形等于一个方形
为什么软件开发者不能测试自己编写的代码
哪些食物是补肝益肾的
How can I wake up so early, Jack?--Set the
别人知自己wifi密码,会对网速有影响吗那怎么
油温机一加热就报警是怎么回事
银赫为什么叫小银鱼
软路由的性能
有没有导磁的粉末或液体?
迅雷影音怎么转换格式
若非当初拒绝穿上疯狂的红舞鞋,想必现在也旋
推荐资讯
凉拌胡萝卜和豆芽放蒜吗
But some day, all our hard work will; we w
黑色衬衣配什么色的A字裙
判断题简单、低等的生物化石只会出现在古老地
ps中液化时,怎么老画不直啊?
铁列克特在什么地方啊,我要过去处理事情
铁粉混有四氧化三铁,如何证明
百乐汇量贩KTV怎么去啊,有知道地址的么
毕业生登记表填错了,不准涂改,只能重新写一
This passage puzzled me so much that I sto
路飞的另一个哥哥萨博在哪啊??
拆gps需要多少钱 急
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?