永发信息网

什么是机械语言 什么是汇编语言 代码是怎么转变成电平信号的?

答案:3  悬赏:20  手机版
解决时间 2021-04-06 23:14
什么是机械语言 什么是汇编语言 代码是怎么转变成电平信号的?
最佳答案
代码,下载到芯片里面,就变成电平信号了。

这些电平信号,用1、0代表,就是机器语言;

用1、0代表的机器语言,非常适合CPU来识别、执行,但是人看起来比较困难,为此,人们用简单英语代替它们,这就是汇编语言。
全部回答
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is generic(n : positive := 16); port(clk,en : in std_logic; counter_out : out std_logic_vector(n-1 downto 0)); end counter; architecture behavioral of counter is signal counter_t : std_logic_vector(n-1 downto 0); begin process(clk) begin if rising_edge(clk) then if en='1' then counter_t <= counter_t + 1; else counter_t <= (others => '0'); end if; end if; end process; process(en) begin if falling_edge(en) then counter_out <= counter_t; end if; end process; end behavioral;
是机器语言,不是机械语言! 汇编语言就是用助记符来表示的机器语言。 代码用cpu翻译成电平高低的
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
Herovercoatis______long.Shemusthav
长虹顺包装我想知道这个在什么地方
菩提无心心有心下一句,有意烧香何必远朝南海,
能做20人左右的回转小火锅设备得多少钱?
取长补短指字花中的什么动物
说文解字 9353个字的六书分类 例如象形:鱼、
远距离输电用交流还是直流?
.——I have a headache.’A. What’s the ma
海贼王中克洛船长为什么后来还要把自己的人杀
潜龙口洁抑菌粉一日几次?
关于单反屏幕有必要贴膜吗
大概的同义词,大概的近义词是什么?
求人龙传说电视剧视频
被骗了三千块钱 知道骗子的的电话号码和她银
致炫的最小离地间隙是多少?
推荐资讯
以前念人又是同学老是想见,是见还是不见
需要鬼魅的歌词
太原冠芝林在哪个路上啊
196.168.找回路由器密码
进水管和出水管的问题
本田雅阁2014款怎么样
瘦一生减肥餐是不是很难吃?是不是没油没盐那
 20 谁有兼职推荐,用手机就可以做的
从宜昌到巴东在哪里买快艇票
鸟和花在一起有什么寓意
农村是什么时候杀年猪?
请教如何给放生的动物们做三皈依
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?