什么是机械语言 什么是汇编语言 代码是怎么转变成电平信号的?
答案:3 悬赏:20 手机版
解决时间 2021-04-06 23:14
- 提问者网友:溺爱和你
- 2021-04-06 10:27
什么是机械语言 什么是汇编语言 代码是怎么转变成电平信号的?
最佳答案
- 五星知识达人网友:野味小生
- 2021-04-06 10:54
代码,下载到芯片里面,就变成电平信号了。
这些电平信号,用1、0代表,就是机器语言;
用1、0代表的机器语言,非常适合CPU来识别、执行,但是人看起来比较困难,为此,人们用简单英语代替它们,这就是汇编语言。
这些电平信号,用1、0代表,就是机器语言;
用1、0代表的机器语言,非常适合CPU来识别、执行,但是人看起来比较困难,为此,人们用简单英语代替它们,这就是汇编语言。
全部回答
- 1楼网友:夜风逐马
- 2021-04-06 11:15
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
generic(n : positive := 16);
port(clk,en : in std_logic;
counter_out : out std_logic_vector(n-1 downto 0));
end counter;
architecture behavioral of counter is
signal counter_t : std_logic_vector(n-1 downto 0);
begin
process(clk)
begin
if rising_edge(clk) then
if en='1' then
counter_t <= counter_t + 1;
else
counter_t <= (others => '0');
end if;
end if;
end process;
process(en)
begin
if falling_edge(en) then
counter_out <= counter_t;
end if;
end process;
end behavioral;
- 2楼网友:山有枢
- 2021-04-06 11:07
是机器语言,不是机械语言!
汇编语言就是用助记符来表示的机器语言。
代码用cpu翻译成电平高低的
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
推荐资讯