永发信息网

verilog fft ip核多通道仿真

答案:1  悬赏:50  手机版
解决时间 2021-03-17 02:11
verilog fft ip核多通道仿真
最佳答案
always@(posedge clk) begin
i <= i + 1;
if(start) begin
case(i)
3'b000: xn0_re <= 8'd20;
3'b001: xn0_re <= 8'd30;
3'b010: xn0_re <= 8'd40;
3'b011: xn0_re <= 8'd50;
3'b100: xn0_re <= 8'd60;
3'b101: xn0_re <= 8'd70;
3'b110: xn0_re <= 8'd80;
3'b111: xn0_re <= 8'd000;
default;
endcase
end
end
always@(posedge clk) begin
j <= j + 1;
if(start) begin
case(j)
3'b000: xn1_re <= 8'd30;
3'b001: xn1_re <= 8'd40;
3'b010: xn1_re <= 8'd50;
3'b011: xn1_re <= 8'd60;
3'b100: xn1_re <= 8'd70;
3'b101: xn1_re <= 8'd80;
3'b110: xn1_re <= 8'd90;
3'b111: xn1_re <= 8'd000;
default;
endcase
end
end

这样试试追问你说的方法我也试过,效果和我的一样,也只有xn1_re输入
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
龙凤祥医药连锁美惠店地址在哪,我要去那里办
像快手这么低俗的平台,广电总局怎么不封,杀
联通4G本地套餐如何改成4G全国套餐?
求一套别墅的三维CAD及其渲染图
32.6*1.01-0.01*3.26简便算法
世界园艺博览会在中国开了几届了?哪几届?
他趁我写书用笔碰我脸
sqlserver数据库 有个人员信息表 我要获取比1
梦见和朋友在河里游泳
苹果4关机后自动开机怎么回事?没越狱的
请问函授本科好毕业么? 毕业还要论文答辩吗
老百姓董法律
1.6排量加500块钱油能跑多少公里
vmware esxi 5.1是什么软件
4与56的和被75与15的差除,结果是多少
推荐资讯
福州“拱趴”一词的来历
野花虽好看但摘下来就会死亡深刻寓意
热冷水时,当温度尚未达到沸点时,为什么水中
国庆放到哪天
我们宿舍有8人,想写一副对联要求7言的
东营二手房哪个位置好 ,大约价位在多少?
地坪漆多少钱一平方 地下车库地坪漆
sony微单a7入门入门教程
百年白堽羊肉馆通泰路店在什么地方啊,我要过
踢字怎么组词
为什么叫东坡肉
我想问养驴是不是回收
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?