永发信息网

verilog数字时钟里头一个赋值错误 求改正

答案:1  悬赏:80  手机版
解决时间 2021-02-26 02:15
应该是某个变量在赋值时出了问题(多次赋值等等)= =本人新手, 找了好久没找出来啥问题= =求指出, 谢谢!

报错信息:

ERROR:Xst:528 - Multi-source in Unit <main> on signal <Mcount_count_cy<0>>; this signal is connected to multiple drivers.
Drivers are:
Output signal of FD instance <count_0>
Signal <count<0>> in Unit <main> is assigned to GND

附:部分源码

module main(clk, rst, add, set, an, seg, dp);
input clk;
input rst;
input set;
input [3:0] add;
output reg [3:0] an;
output reg [6:0] seg;
output reg dp;

//reg [3:0] reg_add1;
//reg [3:0] reg_add2;
//reg [3:0] reg_add;
//reg [19:0] reg_count;

reg clk4000; //4000Hz
reg clk1; //1Hz
reg clk4;
reg [15:0] cnt1;
reg [25:0] cnt2;
reg [25:0] cnt3;
reg [1:0] count;
reg [1:0] count1;
reg [3:0] disp;
reg [3:0] second1;
reg [3:0] second0;
reg [3:0] minute1;
reg [3:0] minute0;
always @(posedge clk4 or posedge set) //计时
begin

if(second0>4'b1001) second0<=4'b0;
if(second1>4'b0101) second1<=4'b0;
if(minute0>4'b1001) minute0<=4'b0;
if(minute1>4'b0101) minute1<=4'b0;

if(set)
begin
if(add[0])
begin
if(second0<4'b1001) second0<=second0+4'b1;
else second0<=0;
end
else
if(add[1])
begin
if(second1<4'b0101) second1<=second1+4'b1;
else second1<=4'b0;
end
else
if(add[2])
begin
if(minute0<4'b1001) minute0<=minute0+4'b1;
else minute0<=4'b0;
end
else
if(add[3])
begin
if(minute1<4'b0101) minute1<=minute1+4'b1;
else minute1<=4'b0;
end

end
else

if(count1==2'b11)
begin
count<=0;

if (second0<4'b1001) second0<=second0+4'b1;
else
begin
second0<=4'b0;
if (second1<4'b0101) second1<=second1+4'b1;
else
begin
second1<=4'b0;
if (minute0<4'b1001) minute0<=minute0+4'b1;
else
begin
minute0<=4'b0;
if (minute1<4'b0101) minute1<=minute1+4'b1;
else minute1<=4'b0;
end
end
end
end
else
count1<=count1+2'b1;

end
还有一个将二进制码转换为7段数码管显示的模块, 确定没有问题,同时因为字数限制,不再贴了= =谢谢各位!
最佳答案
Mcount_count_cy<0> 这个信号有多个驱动源,有可能在两个always块中操作。
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
手机QQ通讯录里面的好友怎么没了,再一次手机
怎样防治大米、大豆受到黄曲霉毒素的污染?
峨眉山大酒店在哪里啊,我有事要去这个地方
某露天原料堆场,设置有两台桥式吊车,起重量
嘉乐宾馆在哪里啊,我有事要去这个地方
人在汽车灯前的背影是放大还是缩小
洛阳有没卖山东煎饼的
手机在家里没有信号,为什么?以前不是这样的
请问建行可以办理支付宝卡吗要怎么办理需不需
我喜欢一个比我大7岁的女孩子
谁有琴棋书画十字绣的图纸
大连元宝豆制品有限公司我想知道这个在什么地
被医考包过骗子骗了一万六千块钱怎么办
使用酒精灯的三禁止。啊!
thinkpad e430一按键盘任何一个按键就开机,
推荐资讯
什么是油封期
今年的初二寒假作业本的答案 谢啦
清真老菜馆我想知道这个在什么地方
随食随递(红星街店)在哪里啊,我有事要去这个
怀化真的禁摩托车吗?禁的了吗?
天下370级除了战场套和世界套还有什么别的套
地铁和高铁哪个快
LOL群求群等级称号
诊断是什么意思
广安去青神县的火车路线
美丫丫饰品在哪里啊,我有事要去这个地方
浮生物语4百度云谢谢
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?