永发信息网

若某处理器的时钟频率为500Hz,每四个时钟周期组成一个机器周期,执行一条指令平均需要三个机器周期,则该

答案:2  悬赏:0  手机版
解决时间 2021-04-03 17:40
若某处理器的时钟频率为500Hz,每四个时钟周期组成一个机器周期,执行一条指令平均需要三个机器周期,则该
最佳答案
、2000分频。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity divider is
port(clk:in std_logic;
q1000,q500,q50:out std_logic);--q1000输出1000HZ,q500输出500Hz,q50输出50Hz
end entity;
architecture art of divider is
signal q1,q2,q3: std_logic_vector(6 downto 0); --相当于中间变量
signal qq1,qq2,qq3: std_logic; --相当于中间变量
begin
process(clk) is
begin
if clk'event and clk='1' then --若clk上升沿到来则
if q1=100 then --若有100个clk上升沿到来则
q1<="0000000";qq1<=not(qq1); -- q1清零
q2<=q2+1;q3<=q3+1;
else q1<=q1+1;
end if;
if q2=2 then q2<="0000000";qq2<=not(qq2); --若2个100个clk上升沿到来
end if;
if q3=20 then q3<="0000000";qq3<=not(qq3); --若20个100个clk上升沿到来
end if;
q1000<=qq1; --最后赋值
q500<=qq2; --最后赋值
q50<=qq3; --最后赋值
end if;
end process;
end architecture art;
全部回答
有没有搞错,500Hz,时钟频率这么低````````如果没看错的话,一个机器周期为4/500 s,平均执行速度500/(12*10^6)MIPS
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
为什么南京建邺区应天西路爱达花园的房子这么
华为tx201l主板认不了硬盘,pe进去格式安装系
表示后怕的成语
水上中学地址有知道的么?有点事想过去!
高家岭信用社地址在哪,我要去那里办事,
QQ中转站文件上传速度怎么加快!!!
政声人去后,功德在民心出自哪里?
我一直想不明白,袁世凯为什么要称帝,谁支持
历史上有张飞和关羽吗?
听人说很薄的不锈钢锅或碗盆不好,是为什么不
我想问老家信号很差怎么能让信号超好点
13.6万元等于多少千元
请春雨联合收割机质量怎么样??好用吗???
联想集团今年生产电脑38600台,比去年少生产1&
我不想让我妈帮着带孩子,我妈却想带,怎么婉
推荐资讯
2015美发标准杠教学
沈月和女朋友分手什么梗?
荷西等了三毛几年 荷西真的存在吗
去岁江南见雪时,月底梅花发.是什么意思
股票V型反转形态是怎样的
书法家王丛林简历
我觉得高考考的好的人真令我羡慕,我告考才31
助学贷款还款怎么还? 我大学四年每年申请600
已知俩个正整数的和是50,它们的最大公因数是
2017年底手机华为mate10Pro和一加五T选哪个?
单选题下列各项不包含固氮微生物的是A.原核生
我想改个带“惠”字个性qq昵称和个性签名!
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?