永发信息网

vhdl 编写的4-16译码器?

答案:2  悬赏:40  手机版
解决时间 2021-05-16 15:26
代码
最佳答案
library ieee;
use ieee.std_logic_1164.all;
entity decoder4_16 is
port(data:in std_logic_vector(3 downto 0);
en :in std_logic;
y:out std_logic_vector(15 downto 0));
end entity decoder4_16;
architecture arch1 of decoder4_16 is
begin
process(en,data)
begin
if en='1' then
case data is
when "0000"=> y(15 downto 0)<="1111111111111110";
when "0001"=> y(15 downto 0)<="1111111111111101";
when "0010"=> y(15 downto 0)<="1111111111111011";
when "0011"=> y(15 downto 0)<="1111111111110111";
when "0100"=> y(15 downto 0)<="1111111111101111";
when "0101"=> y(15 downto 0)<="1111111111011111";
when "0110"=> y(15 downto 0)<="1111111110111111";
when "0111"=> y(15 downto 0)<="1111111101111111";
when "1000"=> y(15 downto 0)<="1111111011111111";
when "1001"=> y(15 downto 0)<="1111110111111111";
when "1010"=> y(15 downto 0)<="1111101111111111";
when "1011"=> y(15 downto 0)<="1111011111111111";
when "1100"=> y(15 downto 0)<="1110111111111111";
when "1101"=> y(15 downto 0)<="1101111111111111";
when "1110"=> y(15 downto 0)<="1011111111111111";
when "1111"=> y(15 downto 0)<="0111111111111111";
when others =>y(15 downto 0)<="1111111111111111";
end case;
end if;
end process;
end architecture;
全部回答
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity trans38 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0) ); end trans38; architecture dec_behave of trans38 is signal sel:std_logic_vector(3 downto 0); begin sel<=A&EN; with sel select Y<= "00000001" when "0001", "00000010" when "0011", "00000100" when "0101", "00001000" when "0
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
现在市场上哪款相机质量,性能最好呀?
现在有什么好电视剧《武侠类》的?
这个反应的方程式怎么写
郸城县周口赵寨小学地址有谁知道?有点事想过
我是油性皮肤,应该使用什么牌子的护肤品呢要
大家认为AI为什么会打消退役的念头呢?
炸酥肉用什么淀粉最好,炸酥肉用什么粉好吃
祁东县衡阳中国移动蒋家桥营业厅怎么去啊,谁
吕祖四八签:古人陈万年不第 求解
世界上什么吃的最恐怖
组装一台价值在4000左右的 电脑怎么弄零件?
蛊惑人心的意思和造句,歌词有一句是黑暗中什
枝江市宜昌芸苔花开私房菜馆这个地址怎么能查
装修中高档的日本风格发型屋多少钱
弹弹堂极司马配对的宝珠是什么?
推荐资讯
发型软件怎么下载?
小孩发烧打完点滴后大量出汗正常吗?
谁有昨晚AC和皇马的比赛视频?
5173上购买dnf金币超过3600W金币怎么交易
淘宝店铺一个宝贝怎么设置多个价格
问一个动画片的名字。
这款手机是正版的么?多少钱?
福彩代售点是怎样拿提成的,有知道的麻烦详细
SQL编程问题。
数学建模:试着建立水稻叶伸长生长变化的logi
咸阳天豪礼品公司路线怎么走啊?谢谢啦
郭沫若的科学名言,郭沫若的名言
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?