永发信息网

vhdl 图像移动

答案:2  悬赏:70  手机版
解决时间 2021-02-23 07:32
我用下面代码用VGA显示,用按键移动我定义的9*9的正方形,正方形和外面颜色不一样,用xilinx ISE运行,结果在implement Design的Map部分报错 MapLib:978 and MapLib:978979 error.试了xilinx上的解决方法,结果完全没用。 请问哪位高人知道怎么解决?在下万分感谢!

ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<0>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<1>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<2>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<3>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<4>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<5>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<6>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<7>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<8>" (output
ERROR:MapLib:979 - LUT2 symbol "Mcompar_green_signal_cmp_ge0000_lut<9>" (output

SIGNAL a1,a2 : STD_LOGIC_VECTOR(9 DOWNTO 0); ---a1 is y of the graph
---a2 is x of the graph
---------------graph controlling part-------------------------------------------------这一部分在进程外
a1 <= a1+1 when (Button_1<='1') else ---in range
a1-1 when (Button_2<='1') else ---in range
"0000000000" when (a1 < 0) else --out of range
"0111010110" when (a1 > 470); --out of range
a2 <= a2+1 when (Button_3<='1') else ---in range
a2-1 when (Button_4<='1') else ---in range
"0000000000" when (a2 < 0) else --out of range
"1001110110" when (a2 > 630); --out of range

--------------graph display part----------------------------------------------------这一部分在进程内

IF (v_cnt >= a1) AND (v_cnt <= a1+9) AND (h_cnt >= a2) AND (h_cnt <= a2+9) THEN ------in graph
red_signal <= '1';
green_signal <= '1';
blue_signal <= '0';

ELSE ------out of graph
red_signal <= '0';
green_signal <= '0';
blue_signal <= '1';
END IF;
最佳答案
这个我怎么看都像火星文,你这个要在网上问出来啊,你就厉害了,我劝你还是找个会电脑的人给你解答吧。望采纳。
全部回答
没有用过xilinx ISE 我用的是Quartus II 7.2 (32-Bit) 不知道是什么问题 兄弟如果知道了 分享一下
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
惠普笔记本电脑电源接通未充电怎么办
李甘村地址在哪,我要去那里办事
仙剑三外传里星璇和思堂之间有什么关系?
粤语该怎么学习请告诉一下谢谢
千禧缘手工棉被怎么去啊,有知道地址的么
患者女性,60岁,近一周来夜间出现行为紊乱,
丹尼斯6天地大卖场地址有知道的么?有点事想
二元或者起价二元叫卖录音mp3大全1,有的请发
从开封到洛阳的直达汽车多吗?几点一趟车?需
婚姻到最后,男人还有什么用
发酵前发酵后液肥肥肥水的比例怎样放
西宁定康市政工程有限公司在哪里啊,我有事要
下列使ADP含量增加的生理过程是A. K+进入肾小
明星总是红一阵子,在不红了之后会做什么?
氩弧焊点焊1毫米不锈钢圆管开口盖,电流110左
推荐资讯
第一次考事业单位,招聘化学工程与工艺人员,
苹果5sthe whiteweve foods co这什么意思
大连理工大学艺术特长生录取成绩是多少?我是
关于篮球辅助手的锻炼
勤奋鲨,禁欲张,不退乔,谁的NBA统治力要更
雪佛兰爱唯欧仪表盘故障指示灯不熄灭是何原因
1980军旅主题烤全羊餐吧怎么去啊,有知道地址
脸上肉太多,吃东西总是咬到两腮怎么办?求瘦
地热管进水回水 接反了!!! 高人指教
松下AG-DVC180BMC好不好
郑州市金水区第6幼儿园小班报名时间?
在网上买个联通4G卡说是的用一年,现在不想用
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?