永发信息网

分频器采用VHDL文本输入方式设计,将1MHz的时钟输入信号(clk)分频为1Hz的输出信号(clkfp)。

答案:2  悬赏:20  手机版
解决时间 2021-03-17 08:47
分频器采用VHDL文本输入方式设计,将1MHz的时钟输入信号(clk)分频为1Hz的输出信号(clkfp)。
最佳答案
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity div is
generic(n:integer :=1000000);
port (clk:in std_logic;
q:out std_logic);
end div;
architecture behave of div is
signal count :integer range n-1 downto 0:=n-1;
begin
process(clk)
begin
if rising_edge(clk) then
count<=count-1;
if count>=n/2 then
q<='0';
else
q<='1';
end if;
if count<=0 then
count<=n-1;
end if;
end if;
end process;
end behave;
全部回答
我手上恰好有这样的一份文档,
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
3d中怎么做大面积的树木
厦门鹭尊会议服务有限公司我想知道这个在什么
mp3和手机cd音质比怎么排顺序。
为什么外国小孩子很可爱
学习易经需要八字里有华盖吗
WOW试用账号进副本可以分装备么? 比如蓝色的
双身份证在不同一个省能办两个社保卡吗
福源酿造醋业公司地址有知道的么?有点事想过
用39与41的和,去除585与265的差,商是多少
在美国买什么耳机好? 最好是耳机,有好的耳塞
最美的誓言我会永远爱你一万年说唱的好像还有
我男朋友到了18岁才能跟我上床
eve我做萨沙共和国的海盗任务 现有问题3个 答
下南喷地址在哪,我要去那里办事
房屋买卖物业更名问题
推荐资讯
我向别人接了3万,借条上只写明了担保人、还
古船维e玉米油怎么样啊,我买回家了,才看到
lg电视一半白屏
厨房间装修时,先铺墙砖还是先铺煤气管?
祠堂基地址有知道的么?有点事想过去
耳朵像兔子外形像狗的动物
味滋缘一元火锅涮分店在什么地方啊,我要过去
包头科一考试地点地图
刘谦把手中红扑克扔出去,忽然就变蓝色了!怎
民间没有真的和合术
苏州哈凯尔特信息科技有限公司在什么地方啊,
C语言看一下有哪些错误,无法出现计算结果
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?