永发信息网

用VHDL程序设计一个8选1数据选择器

答案:2  悬赏:60  手机版
解决时间 2021-11-12 06:46
用VHDL程序设计一个8选1数据选择器
最佳答案
LIBRARY IEEE;
USE IEEE.Std_logic_1164.ALL;
ENTITY Mux8 IS
PORT(sel:IN Std_logic_Vector(2 DOWNTO 0);
In_s:IN Std_logic_Vector(7 DOWNTO 0);
y:OUT Std_logic);
END Mux8;
ARCHITECTURE behave OF Mux8 IS
BEGIN
PROCESS(sel,In_s)
BEGIN
CASE sel IS
WHEN "111" => y <= In_s(7);
WHEN "011" => y <= In_s(6);
WHEN "101" => y <= In_s(5);
WHEN "100" => y <= In_s(4);
WHEN "011" => y <= In_s(3);
WHEN "010" => y <= In_s(2);
WHEN "001" => y <= In_s(1);
WHEN "000" => y <= In_s(0);
WHEN OTHERS => NULL;
END CASE;
END PROCESS;
END behave;
将上面描述中的全角字符改成半角字符后,就可以通过编译了。
全部回答
就这个要求?
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
系统备份的文件找不到,备份的路径是:F:\
箱梁的螺旋筋必须加吗,和锚垫板必须紧挨着吗
一滴水滴从很高的地方落下来,刚好打在一个人
泰国ch3 4+1是指哪四部戏
请问江西拌冷粉到底放了什么为什么那么好吃我
古代筷子叫什么
权健养生会馆地址有知道的么?有点事想过去!
河南十堰属于哪个市
䗲虾油和虾青素可以同时服用吗
榆中建投翰林院交通方便吗?应该怎么过去?
3a=b-2(a,b都是自然数)则b被a除商是多少余多
w2016和2016+什么区别
媳妇怎样才能跟家公家婆相处的好
叠拱护坡怎么做
这道排列组合题(2007年天津高考题)怎么做?
推荐资讯
一副春节对联。急急急急急!!!
新起点六年级上第42页翻译
卖盘是什么?
高手请教:mapsource航迹修改捷径
在乡镇加盟个物流怎样,只做代收代发,有前景
老年人感觉全身重口舌干是什么引起的
另有企图,打一数字
FC2是什么网站 国内有没有类似的
求图中级数的收敛域,仅一小问(3),谢谢
龟龄集为什么要用淡盐水送服啊?用白水不行啊
我想问一下大神们 国服天空套不就那不几个吗
网速2M/s快吗
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?