永发信息网

Error (10170): Verilog HDL syntax error at bijiaoqi.v(1) near text ";"; expecting ".&

答案:1  悬赏:80  手机版
解决时间 2021-03-20 02:55
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bijiaoqi is
port(a,b:instd_logic_vector(2 downto 0);
sel_f:instd_logic_vector(1 downto 0);
q:outBoolean);
end;
architecture one of bijiaoqi is
begin
process(sel_f,a,b)
begin
case sel_f is
when”00” => q <= a=b;
when”01” => q <= awhen”10” => q <= a>b;
when others => q <=false;
end case;
end process;
end one;
最佳答案
你具体要实现什么功能,感觉你有些地方语法就不对。
我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
微型小说名作选阅读答案
怎么用金手指
产年怎么去啊,有知道地址的么
阳历1月30日是农历多少日?
玉樵夫900C条幅机怎么要写一个条幅关一下机才
茅台酒的是什么颜色的
c 语言中这个指针赋初值的问题
贵阳补高中数学和物理补的好的地方
木星水瓶座
华商房产鲁兴店我想知道这个在什么地方
手机的屏幕校正在哪里?
甘肃武威适合养殖竹鼠吗?
调颜色怎么调
英金河畔地址在哪,我要去那里办事
兴宁市梅州施柏丽干洗(鸿源桥)地址有谁知道?
推荐资讯
为啥俄罗斯专门坑印度
用那些自带声卡的耳机,主板的声卡,是不是就
下列不是蛋白质的性质的是A.处于等电状态时溶
帮我选个单反 新手入门觉得佳能550D(配佳能E
求小说~要求主角天下无敌 但是却因为一个诺言
刚学化妆,最基本的需要什么化妆品和工具?
开平邮政支局地址在哪,我要去那里办事
女子带个3岁的小孩能不能执行行政拘留?
联轴器的用途有哪些
老师音乐室开业如何写祝福语
施工单位可以报考注册消防工程师吗
请问小说魅宫十二夫男主有哪些?急求
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?