永发信息网

求基于VHDL的控制舵机程序?

答案:1  悬赏:50  手机版
解决时间 2021-07-26 14:49
控制多路的VHDL程序,没有控制多路的话,控制单个的也行!
最佳答案

这是控制180度舵机转到90度的程序,比较简单!


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


entity yan is
port(clk:in std_logic;
po:out std_logic);
end yan;


architecture zheng of yan is
signal co:integer range 0 to 1000000;
begin
process(clk)
if clk'event and clk='1' then
co<=co+1;
if co<75000 then
po<='1';
else
po<='0';
end if;
end if;
end process;
end zheng;

我要举报
如以上问答信息为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
如果是真心的,网恋会有结果吗?
永恒的艺术 诠释
一个朋友借自己几百块钱,该怎么向他要呢?
尊者和神出自哪部高达?
如果有一天你跟你爱的人说分手说要努力的去学
弹吉他时左脚打拍子还是右脚打拍子
诺基亚5630现在什麽价位 到年底有降价的可能
宋悟空是秀才变的吗?
QQ堂怎么样点亮图标
埋线双眼皮后眼睛里有血,,,第九天了,还是
DNF战斗法师最好加点怎么加
自考本科学士学位证如获得,有什么条件,需要
去哪间办银行卡最好
英雄岛选什么样的职业和技能比较好。。。。
一个数减去它的五分之一后等于120的三分之一,
推荐资讯
我的ipod touch2,8G版实际可用容量只有6.88G
GTA4攻略
你dnf哪个区?
抢车位可以把收入低的车出售换购成收入高的车
昆山有时下流行的韩国SZ品牌专卖店吗>
现在好赚钱的游戏哪个
对保洁阿姨赞美的句子,赞美美好景色的句子
小娘皮是什么意思?
阿狸悲伤语录,求LOL狐狸的全部台词!
表明自己高洁的诗句,含蓄的表达想要谈恋爱的
比喻重庆天气的句子,赞美重庆的诗句有哪些
抄送邮件怎么发,电子邮件抄送和在收件人后面
正方形一边上任一点到这个正方形两条对角线的
阴历怎么看 ?